diff --git a/.github/workflows/nf-core-linting.yml b/.github/workflows/nf-core-linting.yml index af4cade7..df5ba60d 100644 --- a/.github/workflows/nf-core-linting.yml +++ b/.github/workflows/nf-core-linting.yml @@ -16,7 +16,7 @@ jobs: - uses: dorny/paths-filter@v2 id: filter with: - filters: "tests/config/pytest_software.yml" + filters: "tests/config/pytest_modules.yml" lint: runs-on: ubuntu-20.04 diff --git a/.github/workflows/pytest-workflow.yml b/.github/workflows/pytest-workflow.yml index d58a1881..75f0b174 100644 --- a/.github/workflows/pytest-workflow.yml +++ b/.github/workflows/pytest-workflow.yml @@ -14,7 +14,7 @@ jobs: - uses: dorny/paths-filter@v2 id: filter with: - filters: 'tests/config/pytest_software.yml' + filters: "tests/config/pytest_modules.yml" test: runs-on: ubuntu-20.04 @@ -25,9 +25,9 @@ jobs: strategy: fail-fast: false matrix: - nxf_version: ['21.04.0'] - tags: ['${{ fromJson(needs.changes.outputs.modules) }}'] - profile: ['docker', 'singularity', 'conda'] + nxf_version: ["21.04.0"] + tags: ["${{ fromJson(needs.changes.outputs.modules) }}"] + profile: ["docker", "singularity", "conda"] env: NXF_ANSI_LOG: false steps: diff --git a/README.md b/README.md index eba12c01..59857849 100644 --- a/README.md +++ b/README.md @@ -34,9 +34,9 @@ A repository for hosting [Nextflow DSL2](https://www.nextflow.io/docs/latest/dsl The module files hosted in this repository define a set of processes for software tools such as `fastqc`, `bwa`, `samtools` etc. This allows you to share and add common functionality across multiple pipelines in a modular fashion. -We have written a helper command in the `nf-core/tools` package that uses the GitHub API to obtain the relevant information for the module files present in the [`software/`](software/) directory of this repository. This includes using `git` commit hashes to track changes for reproducibility purposes, and to download and install all of the relevant module files. +We have written a helper command in the `nf-core/tools` package that uses the GitHub API to obtain the relevant information for the module files present in the [`modules/`](modules/) directory of this repository. This includes using `git` commit hashes to track changes for reproducibility purposes, and to download and install all of the relevant module files. -1. Install the latest version of [`nf-core/tools`](https://github.com/nf-core/tools#installation) (`>=1.13`) +1. Install the latest version of [`nf-core/tools`](https://github.com/nf-core/tools#installation) (`>=2.0`) 2. List the available modules: ```console @@ -48,7 +48,7 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Modules available from nf-core/modules (master): pipeline_modules.py:164 @@ -73,10 +73,10 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Installing fastqc pipeline_modules.py:213 - INFO Downloaded 3 files to ./modules/nf-core/software/fastqc pipeline_modules.py:236 + INFO Downloaded 3 files to ./modules/nf-core/modules/fastqc pipeline_modules.py:236 ``` 4. Import the module in your Nextflow script: @@ -86,7 +86,7 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi nextflow.enable.dsl = 2 - include { FASTQC } from './modules/nf-core/software/fastqc/main' addParams( options: [:] ) + include { FASTQC } from './modules/nf-core/modules/fastqc/main' addParams( options: [:] ) ``` 5. Remove the module from the pipeline repository if required: @@ -100,7 +100,7 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Removing fastqc pipeline_modules.py:271 INFO Successfully removed fastqc pipeline_modules.py:285 @@ -117,7 +117,7 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Linting pipeline: . lint.py:104 INFO Linting module: fastqc lint.py:106 @@ -128,7 +128,7 @@ We have written a helper command in the `nf-core/tools` package that uses the Gi ╭──────────────┬───────────────────────────────┬──────────────────────────────────╮ │ Module name │ Test message │ File path │ ├──────────────┼───────────────────────────────┼──────────────────────────────────┤ - │ fastqc │ Local copy of module outdated │ modules/nf-core/software/fastqc/ │ + │ fastqc │ Local copy of module outdated │ modules/nf-core/modules/fastqc/ │ ╰──────────────┴────────────────────────────── ┴──────────────────────────────────╯ ╭──────────────────────╮ │ LINT RESULTS SUMMARY │ @@ -146,12 +146,12 @@ We have plans to add other utility commands to help developers install and maint If you decide to upload a module to `nf-core/modules` then this will ensure that it will become available to all nf-core pipelines, and to everyone within the Nextflow community! See -[`software/`](software) +[`modules/`](modules) for examples. ### Checklist -Please check that the module you wish to add isn't already on [`nf-core/modules`](https://github.com/nf-core/modules/tree/master/software): +Please check that the module you wish to add isn't already on [`nf-core/modules`](https://github.com/nf-core/modules/tree/master/modules): - Use the [`nf-core modules list`](https://github.com/nf-core/tools#list-modules) command - Check [open pull requests](https://github.com/nf-core/modules/pulls) - Search [open issues](https://github.com/nf-core/modules/issues) @@ -165,7 +165,7 @@ If the module doesn't exist on `nf-core/modules`: We have implemented a number of commands in the `nf-core/tools` package to make it incredibly easy for you to create and contribute your own modules to nf-core/modules. -1. Install the latest version of [`nf-core/tools`](https://github.com/nf-core/tools#installation) (`>=1.13`) +1. Install the latest version of [`nf-core/tools`](https://github.com/nf-core/tools#installation) (`>=2.0`) 2. Install [`Nextflow`](https://www.nextflow.io/docs/latest/getstarted.html#installation) (`>=21.04.0`) 3. Install any of [`Docker`](https://docs.docker.com/engine/installation/), [`Singularity`](https://www.sylabs.io/guides/3.0/user-guide/) or [`Conda`](https://conda.io/miniconda.html) 4. [Fork and clone this repo locally](#uploading-to-nf-coremodules) @@ -181,7 +181,7 @@ We have implemented a number of commands in the `nf-core/tools` package to make git checkout -b fastqc ``` -6. Create a module using the [nf-core DSL2 module template](https://github.com/nf-core/tools/blob/master/nf_core/module-template/software/main.nf): +6. Create a module using the [nf-core DSL2 module template](https://github.com/nf-core/tools/blob/master/nf_core/module-template/modules/main.nf): ```console $ nf-core modules create . --tool fastqc --author @joebloggs --label process_low --meta @@ -192,36 +192,36 @@ We have implemented a number of commands in the `nf-core/tools` package to make | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Using Bioconda package: 'bioconda::fastqc=0.11.9' create.py:130 INFO Using Docker / Singularity container with tag: 'fastqc:0.11.9--0' create.py:140 INFO Created / edited following files: create.py:218 - ./software/fastqc/functions.nf - ./software/fastqc/main.nf - ./software/fastqc/meta.yml - ./tests/software/fastqc/main.nf - ./tests/software/fastqc/test.yml - ./tests/config/pytest_software.yml + ./modules/fastqc/functions.nf + ./modules/fastqc/main.nf + ./modules/fastqc/meta.yml + ./tests/modules/fastqc/main.nf + ./tests/modules/fastqc/test.yml + ./tests/config/pytest_modules.yml ``` All of the files required to add the module to `nf-core/modules` will be created/edited in the appropriate places. The 4 files you will need to change are: - 1. [`./software/fastqc/main.nf`](https://github.com/nf-core/modules/blob/master/software/fastqc/main.nf) + 1. [`./modules/fastqc/main.nf`](https://github.com/nf-core/modules/blob/master/modules/fastqc/main.nf) This is the main script containing the `process` definition for the module. You will see an extensive number of `TODO` statements to help guide you to fill in the appropriate sections and to ensure that you adhere to the guidelines we have set for module submissions. - 2. [`./software/fastqc/meta.yml`](https://github.com/nf-core/modules/blob/master/software/fastqc/meta.yml) + 2. [`./modules/fastqc/meta.yml`](https://github.com/nf-core/modules/blob/master/modules/fastqc/meta.yml) This file will be used to store general information about the module and author details - the majority of which will already be auto-filled. However, you will need to add a brief description of the files defined in the `input` and `output` section of the main script since these will be unique to each module. - 3. [`./tests/software/fastqc/main.nf`](https://github.com/nf-core/modules/blob/master/tests/software/fastqc/main.nf) + 3. [`./tests/modules/fastqc/main.nf`](https://github.com/nf-core/modules/blob/master/tests/modules/fastqc/main.nf) Every module MUST have a test workflow. This file will define one or more Nextflow `workflow` definitions that will be used to unit test the output files created by the module. By default, one `workflow` definition will be added but please feel free to add as many as possible so we can ensure that the module works on different data types / parameters e.g. separate `workflow` for single-end and paired-end data. Minimal test data required for your module may already exist within this repository, in which case you may just have to change a couple of paths in this file - see the [Test data](#test-data) section for more info and guidelines for adding new standardised data if required. - 4. [`./tests/software/fastqc/test.yml`](https://github.com/nf-core/modules/blob/master/tests/software/fastqc/test.yml) + 4. [`./tests/modules/fastqc/test.yml`](https://github.com/nf-core/modules/blob/master/tests/modules/fastqc/test.yml) This file will contain all of the details required to unit test the main script in the point above using [pytest-workflow](https://pytest-workflow.readthedocs.io/). If possible, any outputs produced by the test workflow(s) MUST be included and listed in this file along with an appropriate check e.g. md5sum. The different test options are listed in the [pytest-workflow docs](https://pytest-workflow.readthedocs.io/en/stable/#test-options). @@ -240,24 +240,24 @@ We have implemented a number of commands in the `nf-core/tools` package to make | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Press enter to use default values (shown in brackets) or type your own responses test_yml_builder.py:51 ? Tool name: fastqc - Test YAML output path (- for stdout) (tests/software/fastqc/test.yml): - INFO Looking for test workflow entry points: 'tests/software/fastqc/main.nf' test_yml_builder.py:116 + Test YAML output path (- for stdout) (tests/modules/fastqc/test.yml): + INFO Looking for test workflow entry points: 'tests/modules/fastqc/main.nf' test_yml_builder.py:116 INFO Building test meta for entry point 'test_fastqc_single_end' test_yml_builder.py:150 Test name (fastqc test_fastqc_single_end): - Test command (nextflow run tests/software/fastqc -entry test_fastqc_single_end -c tests/config/nextflow.config): + Test command (nextflow run tests/modules/fastqc -entry test_fastqc_single_end -c tests/config/nextflow.config): Test tags (comma separated) (fastqc,fastqc_single_end): Test output folder with results (leave blank to run test): ? Choose software profile Singularity INFO Setting env var '$PROFILE' to 'singularity' test_yml_builder.py:258 INFO Running 'fastqc' test with command: test_yml_builder.py:263 - nextflow run tests/software/fastqc -entry test_fastqc_single_end -c tests/config/nextflow.config --outdir /tmp/tmpgbneftf5 + nextflow run tests/modules/fastqc -entry test_fastqc_single_end -c tests/config/nextflow.config --outdir /tmp/tmpgbneftf5 INFO Test workflow finished! test_yml_builder.py:276 - INFO Writing to 'tests/software/fastqc/test.yml' test_yml_builder.py:293 + INFO Writing to 'tests/modules/fastqc/test.yml' test_yml_builder.py:293 ``` > NB: See docs for [running tests manually](#running-tests-manually) if you would like to run the tests manually. @@ -273,7 +273,7 @@ We have implemented a number of commands in the `nf-core/tools` package to make | \| | \__, \__/ | \ |___ \`-._,-`-, `._,._,' - nf-core/tools version 1.13 + nf-core/tools version 2.0 INFO Linting modules repo: . lint.py:102 INFO Linting module: fastqc lint.py:106 @@ -284,9 +284,9 @@ We have implemented a number of commands in the `nf-core/tools` package to make ╭──────────────┬──────────────────────────────────────────────────────────────┬──────────────────────────────────╮ │ Module name │ Test message │ File path │ ├──────────────┼──────────────────────────────────────────────────────────────┼──────────────────────────────────┤ - │ fastqc │ TODO string in meta.yml: #Add a description of the module... │ modules/nf-core/software/fastqc/ │ - │ fastqc │ TODO string in meta.yml: #Add a description and other det... │ modules/nf-core/software/fastqc/ │ - │ fastqc │ TODO string in meta.yml: #Add a description of all of the... │ modules/nf-core/software/fastqc/ │ + │ fastqc │ TODO string in meta.yml: #Add a description of the module... │ modules/nf-core/modules/fastqc/ │ + │ fastqc │ TODO string in meta.yml: #Add a description and other det... │ modules/nf-core/modules/fastqc/ │ + │ fastqc │ TODO string in meta.yml: #Add a description of all of the... │ modules/nf-core/modules/fastqc/ │ ╰──────────────┴──────────────────────────────────────────────────────────────┴──────────────────────────────────╯ ╭────────────────────────────────────────────────────────────────────────────────────────────────────────────────╮ │ [!] 1 Test Failed │ @@ -294,7 +294,7 @@ We have implemented a number of commands in the `nf-core/tools` package to make ╭──────────────┬──────────────────────────────────────────────────────────────┬──────────────────────────────────╮ │ Module name │ Test message │ File path │ ├──────────────┼──────────────────────────────────────────────────────────────┼──────────────────────────────────┤ - │ fastqc │ 'meta' map not emitted in output channel(s) │ modules/nf-core/software/fastqc/ │ + │ fastqc │ 'meta' map not emitted in output channel(s) │ modules/nf-core/modules/fastqc/ │ ╰──────────────┴──────────────────────────────────────────────────────────────┴──────────────────────────────────╯ ╭──────────────────────╮ │ LINT RESULTS SUMMARY │ @@ -356,7 +356,7 @@ Please follow the steps below to run the tests locally: 3. Install [`pytest-workflow`](https://pytest-workflow.readthedocs.io/en/stable/#installation) -4. Start running your own tests using the appropriate [`tag`](https://github.com/nf-core/modules/blob/3d720a24fd3c766ba56edf3d4e108a1c45d353b2/tests/software/fastqc/test.yml#L3-L5) defined in the `test.yml`: +4. Start running your own tests using the appropriate [`tag`](https://github.com/nf-core/modules/blob/3d720a24fd3c766ba56edf3d4e108a1c45d353b2/tests/modules/fastqc/test.yml#L3-L5) defined in the `test.yml`: - Typical command with Docker: @@ -383,7 +383,7 @@ Please follow the steps below to run the tests locally: ### Uploading to `nf-core/modules` -[Fork](https://help.github.com/articles/fork-a-repo/) the `nf-core/modules` repository to your own GitHub account. Within the local clone of your fork add the module file to the [`software/`](software) directory. Please try and keep PRs as atomic as possible to aid the reviewing process - ideally, one module addition/update per PR. +[Fork](https://help.github.com/articles/fork-a-repo/) the `nf-core/modules` repository to your own GitHub account. Within the local clone of your fork add the module file to the [`modules/`](modules) directory. Please try and keep PRs as atomic as possible to aid the reviewing process - ideally, one module addition/update per PR. Commit and push these changes to your local clone on GitHub, and then [create a pull request](https://help.github.com/articles/creating-a-pull-request-from-a-fork/) on the `nf-core/modules` GitHub repo with the appropriate information. @@ -413,13 +413,13 @@ using a combination of `bwa` and `samtools` to output a BAM file instead of a SA echo \$(bwa 2>&1) | sed 's/^.*Version: //; s/Contact:.*\$//' > ${software}.version.txt ``` - If the software is unable to output a version number on the command-line then a variable called `VERSION` can be manually specified to create this file e.g. [homer/annotatepeaks module](https://github.com/nf-core/modules/blob/master/software/homer/annotatepeaks/main.nf). + If the software is unable to output a version number on the command-line then a variable called `VERSION` can be manually specified to create this file e.g. [homer/annotatepeaks module](https://github.com/nf-core/modules/blob/master/modules/homer/annotatepeaks/main.nf). - The process definition MUST NOT contain a `when` statement. #### Naming conventions -- The directory structure for the module name must be all lowercase e.g. [`software/bwa/mem/`](software/bwa/mem/). The name of the software (i.e. `bwa`) and tool (i.e. `mem`) MUST be all one word. +- The directory structure for the module name must be all lowercase e.g. [`modules/bwa/mem/`](modules/bwa/mem/). The name of the software (i.e. `bwa`) and tool (i.e. `mem`) MUST be all one word. - The process name in the module file MUST be all uppercase e.g. `process BWA_MEM {`. The name of the software (i.e. `BWA`) and tool (i.e. `MEM`) MUST be all one word separated by an underscore. @@ -514,7 +514,7 @@ publishDir "${params.outdir}", saveAs: { filename -> saveFiles(filename:filename, options:params.options, publish_dir:getSoftwareName(task.process), publish_id:meta.id) } ``` -The `saveFiles` function can be found in the [`functions.nf`](software/fastqc/functions.nf) file of utility functions that will be copied into all module directories. It uses the various publishing `options` specified as input to the module to construct and append the relevant output path to `params.outdir`. +The `saveFiles` function can be found in the [`functions.nf`](modules/fastqc/functions.nf) file of utility functions that will be copied into all module directories. It uses the various publishing `options` specified as input to the module to construct and append the relevant output path to `params.outdir`. We also use a standardised parameter called `params.publish_dir_mode` that can be used to alter the file publishing method (default: `copy`). @@ -522,7 +522,7 @@ We also use a standardised parameter called `params.publish_dir_mode` that can b The features offered by Nextflow DSL2 can be used in various ways depending on the granularity with which you would like to write pipelines. Please see the listing below for the hierarchy and associated terminology we have decided to use when referring to DSL2 components: -- *Module*: A `process` that can be used within different pipelines and is as atomic as possible i.e. cannot be split into another module. An example of this would be a module file containing the process definition for a single tool such as `FastQC`. At present, this repository has been created to only host atomic module files that should be added to the [`software/`](software/) directory along with the required documentation and tests. +- *Module*: A `process` that can be used within different pipelines and is as atomic as possible i.e. cannot be split into another module. An example of this would be a module file containing the process definition for a single tool such as `FastQC`. At present, this repository has been created to only host atomic module files that should be added to the [`modules/`](modules/) directory along with the required documentation and tests. - *Sub-workflow*: A chain of multiple modules that offer a higher-level of functionality within the context of a pipeline. For example, a sub-workflow to run multiple QC tools with FastQ files as input. Sub-workflows should be shipped with the pipeline implementation and if required they should be shared amongst different pipelines directly from there. As it stands, this repository will not host sub-workflows although this may change in the future since well-written sub-workflows will be the most powerful aspect of DSL2. diff --git a/deprecated/fastq_screen/main.nf b/deprecated/fastq_screen/main.nf deleted file mode 100644 index d14005be..00000000 --- a/deprecated/fastq_screen/main.nf +++ /dev/null @@ -1,38 +0,0 @@ -nextflow.preview.dsl=2 - -process FASTQ_SCREEN { - - publishDir "$outputdir", - mode: "link", overwrite: true - - // depending on the number of genomes and the type of genome (e.g. plants!), memory needs to be ample! - // label 'bigMem' - // label 'multiCore' - - input: - tuple val(name), path(reads) - val outputdir - // fastq_screen_args are best passed in to the workflow in the following manner: - // --fastq_screen_args="--subset 200000 --force" - val fastq_screen_args - val verbose - - output: - path "*png", emit: png - path "*html", emit: html - path "*txt", emit: report - - script: - println(name) - println(reads) - println(outputdir) - if (verbose){ - println ("[MODULE] FASTQ SCREEN ARGS: "+ fastq_screen_args) - } - - """ - module load fastq_screen - fastq_screen $fastq_screen_args $reads - """ - -} diff --git a/deprecated/fastq_screen/meta.yml b/deprecated/fastq_screen/meta.yml deleted file mode 100644 index 8ec1348e..00000000 --- a/deprecated/fastq_screen/meta.yml +++ /dev/null @@ -1,31 +0,0 @@ -name: FastQ Screen -description: Run FastQ Screen on sequenced reads for Species Identification -keywords: - - Quality Control - - Species Screen - - Contamination -tools: - - fastqc: - description: | - FastQ Screen allows you to screen a library of sequences in - FastQ format against a set of sequence databases so you can - see if the composition of the library matches with what you expect. - homepage: https://www.bioinformatics.babraham.ac.uk/projects/fastq_screen/ - documentation: https://www.bioinformatics.babraham.ac.uk/projects/fastq_screen/_build/html/index.html -input: - - - - sample_id: - type: string - description: Sample identifier - - reads: - type: file - description: Input FastQ file -output: - - - - report: - type: file - description: FastQ Screen report - pattern: "*_screen.{txt,html,png}" - optional_pattern: "*_screen.bisulfite_orientation.png" -authors: - - "@FelixKrueger" diff --git a/deprecated/fastq_screen/test/input/test_R1.fastq.gz b/deprecated/fastq_screen/test/input/test_R1.fastq.gz deleted file mode 120000 index e7b4b614..00000000 --- a/deprecated/fastq_screen/test/input/test_R1.fastq.gz +++ /dev/null @@ -1 +0,0 @@ -../../../../tests/data/fastq/rna/test_R1.fastq.gz \ No newline at end of file diff --git a/deprecated/fastq_screen/test/input/test_R1_val_1.fq.gz b/deprecated/fastq_screen/test/input/test_R1_val_1.fq.gz deleted file mode 120000 index 88ccdc87..00000000 --- a/deprecated/fastq_screen/test/input/test_R1_val_1.fq.gz +++ /dev/null @@ -1 +0,0 @@ -../../../../tests/data/fastq/rna/test_R1_val_1.fq.gz \ No newline at end of file diff --git a/deprecated/fastq_screen/test/input/test_R2.fastq.gz b/deprecated/fastq_screen/test/input/test_R2.fastq.gz deleted file mode 120000 index 5b7b57a3..00000000 --- a/deprecated/fastq_screen/test/input/test_R2.fastq.gz +++ /dev/null @@ -1 +0,0 @@ -../../../../tests/data/fastq/rna/test_R2.fastq.gz \ No newline at end of file diff --git a/deprecated/fastq_screen/test/input/test_R2_val_2.fq.gz b/deprecated/fastq_screen/test/input/test_R2_val_2.fq.gz deleted file mode 120000 index 440be644..00000000 --- a/deprecated/fastq_screen/test/input/test_R2_val_2.fq.gz +++ /dev/null @@ -1 +0,0 @@ -../../../../tests/data/fastq/rna/test_R2_val_2.fq.gz \ No newline at end of file diff --git a/deprecated/fastq_screen/test/input/test_single_end.fastq.gz b/deprecated/fastq_screen/test/input/test_single_end.fastq.gz deleted file mode 120000 index b1f79001..00000000 --- a/deprecated/fastq_screen/test/input/test_single_end.fastq.gz +++ /dev/null @@ -1 +0,0 @@ -../../../../tests/data/fastq/rna/test_single_end.fastq.gz \ No newline at end of file diff --git a/deprecated/fastq_screen/test/main.nf b/deprecated/fastq_screen/test/main.nf deleted file mode 100755 index 4365bf55..00000000 --- a/deprecated/fastq_screen/test/main.nf +++ /dev/null @@ -1,30 +0,0 @@ -#!/usr/bin/env nextflow -nextflow.preview.dsl = 2 - -params.outdir = "." -params.fastq_screen_args = '' -// fastq_screen_args are best passed in to the workflow in the following manner: -// --fastq_screen_args="--subset 200000 --force" - -params.verbose = false - -if (params.verbose){ - println ("[WORKFLOW] FASTQ SCREEN ARGS ARE: " + params.fastq_screen_args) -} - -// TODO: include '../../../tests/functions/check_process_outputs.nf' -include '../main.nf' - -// Define input channels - -ch_read_files = Channel - .fromFilePairs('../../../test-datasets/Ecoli*{1,2}.fastq.gz',size:-1) - // .view() // to check whether the input channel works - -// Run the workflow -workflow { - main: - FASTQ_SCREEN(ch_read_files, params.outdir, params.fastq_screen_args, params.verbose) - - // TODO .check_output() -} diff --git a/deprecated/fastq_screen/test/nextflow.config b/deprecated/fastq_screen/test/nextflow.config deleted file mode 100644 index 63c458ca..00000000 --- a/deprecated/fastq_screen/test/nextflow.config +++ /dev/null @@ -1,2 +0,0 @@ -// docker.enabled = true -params.outdir = './results' diff --git a/deprecated/fastq_screen/test/output/test_R1_screen.txt b/deprecated/fastq_screen/test/output/test_R1_screen.txt deleted file mode 100644 index 4a4f3890..00000000 --- a/deprecated/fastq_screen/test/output/test_R1_screen.txt +++ /dev/null @@ -1,31 +0,0 @@ -#Fastq_screen version: 0.14.0 #Aligner: bowtie2 #Reads in subset: 100000 -Genome #Reads_processed #Unmapped %Unmapped #One_hit_one_genome %One_hit_one_genome #Multiple_hits_one_genome %Multiple_hits_one_genome #One_hit_multiple_genomes %One_hit_multiple_genomes Multiple_hits_multiple_genomes %Multiple_hits_multiple_genomes -Cat 10000 9171 91.71 0 0.00 0 0.00 421 4.21 408 4.08 -Chicken 10000 8932 89.32 0 0.00 0 0.00 64 0.64 1004 10.04 -Cow 10000 8484 84.84 0 0.00 0 0.00 294 2.94 1222 12.22 -Drosophila 10000 9469 94.69 0 0.00 0 0.00 19 0.19 512 5.12 -Human 10000 8367 83.67 2 0.02 3 0.03 354 3.54 1274 12.74 -Mouse 10000 122 1.22 3265 32.65 869 8.69 2066 20.66 3678 36.78 -Pig 10000 8459 84.59 0 0.00 0 0.00 334 3.34 1207 12.07 -Rat 10000 6432 64.32 1 0.01 3 0.03 1334 13.34 2230 22.30 -Zebrafish 10000 9125 91.25 0 0.00 0 0.00 41 0.41 834 8.34 -Arabidopsis 10000 9497 94.97 0 0.00 0 0.00 5 0.05 498 4.98 -Grape 10000 9600 96.00 0 0.00 1 0.01 82 0.82 317 3.17 -Potato 10000 9460 94.60 0 0.00 0 0.00 12 0.12 528 5.28 -Tomato 10000 9521 95.21 0 0.00 0 0.00 45 0.45 434 4.34 -Adapters 10000 10000 100.00 0 0.00 0 0.00 0 0.00 0 0.00 -Brachybacterium 10000 10000 100.00 0 0.00 0 0.00 0 0.00 0 0.00 -Pseudomonas 10000 10000 100.00 0 0.00 0 0.00 0 0.00 0 0.00 -Massilia_oculi 10000 9999 99.99 0 0.00 1 0.01 0 0.00 0 0.00 -Ecoli 10000 9998 99.98 1 0.01 1 0.01 0 0.00 0 0.00 -Lambda 10000 10000 100.00 0 0.00 0 0.00 0 0.00 0 0.00 -MT 10000 7856 78.56 0 0.00 0 0.00 2034 20.34 110 1.10 -PhiX 10000 10000 100.00 0 0.00 0 0.00 0 0.00 0 0.00 -rRNA 10000 9157 91.57 0 0.00 0 0.00 111 1.11 732 7.32 -Wasp 10000 9473 94.73 0 0.00 0 0.00 211 2.11 316 3.16 -Vectors 10000 9713 97.13 0 0.00 0 0.00 52 0.52 235 2.35 -Worm 10000 9645 96.45 0 0.00 0 0.00 13 0.13 342 3.42 -Yeast 10000 9507 95.07 0 0.00 0 0.00 4 0.04 489 4.89 -Mycoplasma 10000 9998 99.98 0 0.00 0 0.00 0 0.00 2 0.02 - -%Hit_no_genomes: 0.88 diff --git a/deprecated/lib/checksum.groovy b/deprecated/lib/checksum.groovy deleted file mode 100644 index 4f349c8f..00000000 --- a/deprecated/lib/checksum.groovy +++ /dev/null @@ -1,34 +0,0 @@ -import java.security.MessageDigest -private static String getMD5(File file) throws IOException -{ - // https://howtodoinjava.com/java/io/how-to-generate-sha-or-md5-file-checksum-hash-in-java/ - //Get file input stream for reading the file content - FileInputStream fis = new FileInputStream(file); - - //Create byte array to read data in chunks - byte[] byteArray = new byte[1024]; - int bytesCount = 0; - - //Read file data and update in message digest - def digest = MessageDigest.getInstance("MD5") - while ((bytesCount = fis.read(byteArray)) != -1) { - digest.update(byteArray, 0, bytesCount); - }; - - //close the stream; We don't need it now. - fis.close(); - - //Get the hash's bytes - byte[] bytes = digest.digest(); - - //This bytes[] has bytes in decimal format; - //Convert it to hexadecimal format - StringBuilder sb = new StringBuilder(); - for(int i=0; i< bytes.length ;i++) - { - sb.append(Integer.toString((bytes[i] & 0xff) + 0x100, 16).substring(1)); - } - - //return complete hash - return sb.toString(); -} diff --git a/deprecated/tcoffee/main.nf b/deprecated/tcoffee/main.nf deleted file mode 100644 index 8b456e23..00000000 --- a/deprecated/tcoffee/main.nf +++ /dev/null @@ -1,16 +0,0 @@ -process tcoffee { - tag "$fasta" - publishDir "${params.outdir}/tcoffee" - container 'quay.io/biocontainers/t_coffee:11.0.8--py27pl5.22.0_5' - - input: - path "$fasta" - - output: - path "${fasta}.aln" - - script: - """ - t_coffee -seq $fasta -outfile ${fasta}.aln - """ -} diff --git a/deprecated/tcoffee/meta.yml b/deprecated/tcoffee/meta.yml deleted file mode 100644 index 1e4a49eb..00000000 --- a/deprecated/tcoffee/meta.yml +++ /dev/null @@ -1,28 +0,0 @@ -name: t-coffee -description: Run tcofee multiple sequence alignment -keywords: - - MSA - - sequence aligment -tools: - - t-coffee: - description: | - T-Coffee is a multiple sequence alignment package. - It uses a progressive approach and a consistency objective - function for alignment evaluation. - homepage: http://www.tcoffee.org/ - documentation: http://www.tcoffee.org/Projects/tcoffee/index.html#DOCUMENTATION -input: - - - - fasta: - type: path - description: Input fasta file - pattern: "*.{fasta,fa,tfa}" -output: - - - - alignment: - type: file - description: tcoffee alignment file - pattern: "*.aln" - -authors: - - "@JoseEspinosa" diff --git a/deprecated/tcoffee/test/main.nf b/deprecated/tcoffee/test/main.nf deleted file mode 100644 index 26fd68f2..00000000 --- a/deprecated/tcoffee/test/main.nf +++ /dev/null @@ -1,15 +0,0 @@ -#!/usr/bin/env nextflow - -nextflow.preview.dsl = 2 - -include check_output from '../../../tests/functions/check_process_outputs.nf' -include tcoffee from '../main.nf' - -// Define input channels -fasta = Channel.fromPath('../../../test-datasets/tools/tcoffee/input/BBA0001.tfa') - -// Run the workflow -workflow { - tcoffee(fasta) - // .check_output() -} diff --git a/deprecated/tcoffee/test/nextflow.config b/deprecated/tcoffee/test/nextflow.config deleted file mode 100644 index c137a138..00000000 --- a/deprecated/tcoffee/test/nextflow.config +++ /dev/null @@ -1,2 +0,0 @@ -docker.enabled = true -params.outdir = './results' diff --git a/software/abacas/functions.nf b/modules/abacas/functions.nf similarity index 100% rename from software/abacas/functions.nf rename to modules/abacas/functions.nf diff --git a/software/abacas/main.nf b/modules/abacas/main.nf similarity index 100% rename from software/abacas/main.nf rename to modules/abacas/main.nf diff --git a/software/abacas/meta.yml b/modules/abacas/meta.yml similarity index 100% rename from software/abacas/meta.yml rename to modules/abacas/meta.yml diff --git a/software/adapterremoval/functions.nf b/modules/adapterremoval/functions.nf similarity index 100% rename from software/adapterremoval/functions.nf rename to modules/adapterremoval/functions.nf diff --git a/software/adapterremoval/main.nf b/modules/adapterremoval/main.nf similarity index 100% rename from software/adapterremoval/main.nf rename to modules/adapterremoval/main.nf diff --git a/software/adapterremoval/meta.yml b/modules/adapterremoval/meta.yml similarity index 100% rename from software/adapterremoval/meta.yml rename to modules/adapterremoval/meta.yml diff --git a/software/allelecounter/functions.nf b/modules/allelecounter/functions.nf similarity index 100% rename from software/allelecounter/functions.nf rename to modules/allelecounter/functions.nf diff --git a/software/allelecounter/main.nf b/modules/allelecounter/main.nf similarity index 100% rename from software/allelecounter/main.nf rename to modules/allelecounter/main.nf diff --git a/software/allelecounter/meta.yml b/modules/allelecounter/meta.yml similarity index 100% rename from software/allelecounter/meta.yml rename to modules/allelecounter/meta.yml diff --git a/software/artic/guppyplex/functions.nf b/modules/artic/guppyplex/functions.nf similarity index 100% rename from software/artic/guppyplex/functions.nf rename to modules/artic/guppyplex/functions.nf diff --git a/software/artic/guppyplex/main.nf b/modules/artic/guppyplex/main.nf similarity index 100% rename from software/artic/guppyplex/main.nf rename to modules/artic/guppyplex/main.nf diff --git a/software/artic/guppyplex/meta.yml b/modules/artic/guppyplex/meta.yml similarity index 100% rename from software/artic/guppyplex/meta.yml rename to modules/artic/guppyplex/meta.yml diff --git a/software/artic/minion/functions.nf b/modules/artic/minion/functions.nf similarity index 100% rename from software/artic/minion/functions.nf rename to modules/artic/minion/functions.nf diff --git a/software/artic/minion/main.nf b/modules/artic/minion/main.nf similarity index 100% rename from software/artic/minion/main.nf rename to modules/artic/minion/main.nf diff --git a/software/artic/minion/meta.yml b/modules/artic/minion/meta.yml similarity index 100% rename from software/artic/minion/meta.yml rename to modules/artic/minion/meta.yml diff --git a/software/bandage/image/functions.nf b/modules/bandage/image/functions.nf similarity index 100% rename from software/bandage/image/functions.nf rename to modules/bandage/image/functions.nf diff --git a/software/bandage/image/main.nf b/modules/bandage/image/main.nf similarity index 100% rename from software/bandage/image/main.nf rename to modules/bandage/image/main.nf diff --git a/software/bandage/image/meta.yml b/modules/bandage/image/meta.yml similarity index 100% rename from software/bandage/image/meta.yml rename to modules/bandage/image/meta.yml diff --git a/software/bbmap/bbduk/functions.nf b/modules/bbmap/bbduk/functions.nf similarity index 100% rename from software/bbmap/bbduk/functions.nf rename to modules/bbmap/bbduk/functions.nf diff --git a/software/bbmap/bbduk/main.nf b/modules/bbmap/bbduk/main.nf similarity index 100% rename from software/bbmap/bbduk/main.nf rename to modules/bbmap/bbduk/main.nf diff --git a/software/bbmap/bbduk/meta.yml b/modules/bbmap/bbduk/meta.yml similarity index 100% rename from software/bbmap/bbduk/meta.yml rename to modules/bbmap/bbduk/meta.yml diff --git a/software/bcftools/consensus/functions.nf b/modules/bcftools/consensus/functions.nf similarity index 100% rename from software/bcftools/consensus/functions.nf rename to modules/bcftools/consensus/functions.nf diff --git a/software/bcftools/consensus/main.nf b/modules/bcftools/consensus/main.nf similarity index 100% rename from software/bcftools/consensus/main.nf rename to modules/bcftools/consensus/main.nf diff --git a/software/bcftools/consensus/meta.yml b/modules/bcftools/consensus/meta.yml similarity index 100% rename from software/bcftools/consensus/meta.yml rename to modules/bcftools/consensus/meta.yml diff --git a/software/bcftools/filter/functions.nf b/modules/bcftools/filter/functions.nf similarity index 100% rename from software/bcftools/filter/functions.nf rename to modules/bcftools/filter/functions.nf diff --git a/software/bcftools/filter/main.nf b/modules/bcftools/filter/main.nf similarity index 100% rename from software/bcftools/filter/main.nf rename to modules/bcftools/filter/main.nf diff --git a/software/bcftools/filter/meta.yml b/modules/bcftools/filter/meta.yml similarity index 100% rename from software/bcftools/filter/meta.yml rename to modules/bcftools/filter/meta.yml diff --git a/software/bcftools/isec/functions.nf b/modules/bcftools/isec/functions.nf similarity index 100% rename from software/bcftools/isec/functions.nf rename to modules/bcftools/isec/functions.nf diff --git a/software/bcftools/isec/main.nf b/modules/bcftools/isec/main.nf similarity index 100% rename from software/bcftools/isec/main.nf rename to modules/bcftools/isec/main.nf diff --git a/software/bcftools/isec/meta.yml b/modules/bcftools/isec/meta.yml similarity index 100% rename from software/bcftools/isec/meta.yml rename to modules/bcftools/isec/meta.yml diff --git a/software/bcftools/merge/functions.nf b/modules/bcftools/merge/functions.nf similarity index 100% rename from software/bcftools/merge/functions.nf rename to modules/bcftools/merge/functions.nf diff --git a/software/bcftools/merge/main.nf b/modules/bcftools/merge/main.nf similarity index 100% rename from software/bcftools/merge/main.nf rename to modules/bcftools/merge/main.nf diff --git a/software/bcftools/merge/meta.yml b/modules/bcftools/merge/meta.yml similarity index 100% rename from software/bcftools/merge/meta.yml rename to modules/bcftools/merge/meta.yml diff --git a/software/bcftools/mpileup/functions.nf b/modules/bcftools/mpileup/functions.nf similarity index 100% rename from software/bcftools/mpileup/functions.nf rename to modules/bcftools/mpileup/functions.nf diff --git a/software/bcftools/mpileup/main.nf b/modules/bcftools/mpileup/main.nf similarity index 100% rename from software/bcftools/mpileup/main.nf rename to modules/bcftools/mpileup/main.nf diff --git a/software/bcftools/mpileup/meta.yml b/modules/bcftools/mpileup/meta.yml similarity index 100% rename from software/bcftools/mpileup/meta.yml rename to modules/bcftools/mpileup/meta.yml diff --git a/software/bcftools/stats/functions.nf b/modules/bcftools/stats/functions.nf similarity index 100% rename from software/bcftools/stats/functions.nf rename to modules/bcftools/stats/functions.nf diff --git a/software/bcftools/stats/main.nf b/modules/bcftools/stats/main.nf similarity index 100% rename from software/bcftools/stats/main.nf rename to modules/bcftools/stats/main.nf diff --git a/software/bcftools/stats/meta.yml b/modules/bcftools/stats/meta.yml similarity index 100% rename from software/bcftools/stats/meta.yml rename to modules/bcftools/stats/meta.yml diff --git a/software/bedtools/bamtobed/functions.nf b/modules/bedtools/bamtobed/functions.nf similarity index 100% rename from software/bedtools/bamtobed/functions.nf rename to modules/bedtools/bamtobed/functions.nf diff --git a/software/bedtools/bamtobed/main.nf b/modules/bedtools/bamtobed/main.nf similarity index 100% rename from software/bedtools/bamtobed/main.nf rename to modules/bedtools/bamtobed/main.nf diff --git a/software/bedtools/bamtobed/meta.yml b/modules/bedtools/bamtobed/meta.yml similarity index 100% rename from software/bedtools/bamtobed/meta.yml rename to modules/bedtools/bamtobed/meta.yml diff --git a/software/bedtools/complement/functions.nf b/modules/bedtools/complement/functions.nf similarity index 100% rename from software/bedtools/complement/functions.nf rename to modules/bedtools/complement/functions.nf diff --git a/software/bedtools/complement/main.nf b/modules/bedtools/complement/main.nf similarity index 100% rename from software/bedtools/complement/main.nf rename to modules/bedtools/complement/main.nf diff --git a/software/bedtools/complement/meta.yml b/modules/bedtools/complement/meta.yml similarity index 100% rename from software/bedtools/complement/meta.yml rename to modules/bedtools/complement/meta.yml diff --git a/software/bedtools/genomecov/functions.nf b/modules/bedtools/genomecov/functions.nf similarity index 100% rename from software/bedtools/genomecov/functions.nf rename to modules/bedtools/genomecov/functions.nf diff --git a/software/bedtools/genomecov/main.nf b/modules/bedtools/genomecov/main.nf similarity index 100% rename from software/bedtools/genomecov/main.nf rename to modules/bedtools/genomecov/main.nf diff --git a/software/bedtools/genomecov/meta.yml b/modules/bedtools/genomecov/meta.yml similarity index 100% rename from software/bedtools/genomecov/meta.yml rename to modules/bedtools/genomecov/meta.yml diff --git a/software/bedtools/getfasta/functions.nf b/modules/bedtools/getfasta/functions.nf similarity index 100% rename from software/bedtools/getfasta/functions.nf rename to modules/bedtools/getfasta/functions.nf diff --git a/software/bedtools/getfasta/main.nf b/modules/bedtools/getfasta/main.nf similarity index 100% rename from software/bedtools/getfasta/main.nf rename to modules/bedtools/getfasta/main.nf diff --git a/software/bedtools/getfasta/meta.yml b/modules/bedtools/getfasta/meta.yml similarity index 100% rename from software/bedtools/getfasta/meta.yml rename to modules/bedtools/getfasta/meta.yml diff --git a/software/bedtools/intersect/functions.nf b/modules/bedtools/intersect/functions.nf similarity index 100% rename from software/bedtools/intersect/functions.nf rename to modules/bedtools/intersect/functions.nf diff --git a/software/bedtools/intersect/main.nf b/modules/bedtools/intersect/main.nf similarity index 100% rename from software/bedtools/intersect/main.nf rename to modules/bedtools/intersect/main.nf diff --git a/software/bedtools/intersect/meta.yml b/modules/bedtools/intersect/meta.yml similarity index 100% rename from software/bedtools/intersect/meta.yml rename to modules/bedtools/intersect/meta.yml diff --git a/software/bedtools/maskfasta/functions.nf b/modules/bedtools/maskfasta/functions.nf similarity index 100% rename from software/bedtools/maskfasta/functions.nf rename to modules/bedtools/maskfasta/functions.nf diff --git a/software/bedtools/maskfasta/main.nf b/modules/bedtools/maskfasta/main.nf similarity index 100% rename from software/bedtools/maskfasta/main.nf rename to modules/bedtools/maskfasta/main.nf diff --git a/software/bedtools/maskfasta/meta.yml b/modules/bedtools/maskfasta/meta.yml similarity index 100% rename from software/bedtools/maskfasta/meta.yml rename to modules/bedtools/maskfasta/meta.yml diff --git a/software/bedtools/merge/functions.nf b/modules/bedtools/merge/functions.nf similarity index 100% rename from software/bedtools/merge/functions.nf rename to modules/bedtools/merge/functions.nf diff --git a/software/bedtools/merge/main.nf b/modules/bedtools/merge/main.nf similarity index 100% rename from software/bedtools/merge/main.nf rename to modules/bedtools/merge/main.nf diff --git a/software/bedtools/merge/meta.yml b/modules/bedtools/merge/meta.yml similarity index 100% rename from software/bedtools/merge/meta.yml rename to modules/bedtools/merge/meta.yml diff --git a/software/bedtools/slop/functions.nf b/modules/bedtools/slop/functions.nf similarity index 100% rename from software/bedtools/slop/functions.nf rename to modules/bedtools/slop/functions.nf diff --git a/software/bedtools/slop/main.nf b/modules/bedtools/slop/main.nf similarity index 100% rename from software/bedtools/slop/main.nf rename to modules/bedtools/slop/main.nf diff --git a/software/bedtools/slop/meta.yml b/modules/bedtools/slop/meta.yml similarity index 100% rename from software/bedtools/slop/meta.yml rename to modules/bedtools/slop/meta.yml diff --git a/software/bedtools/sort/functions.nf b/modules/bedtools/sort/functions.nf similarity index 100% rename from software/bedtools/sort/functions.nf rename to modules/bedtools/sort/functions.nf diff --git a/software/bedtools/sort/main.nf b/modules/bedtools/sort/main.nf similarity index 100% rename from software/bedtools/sort/main.nf rename to modules/bedtools/sort/main.nf diff --git a/software/bedtools/sort/meta.yml b/modules/bedtools/sort/meta.yml similarity index 100% rename from software/bedtools/sort/meta.yml rename to modules/bedtools/sort/meta.yml diff --git a/software/bedtools/subtract/functions.nf b/modules/bedtools/subtract/functions.nf similarity index 100% rename from software/bedtools/subtract/functions.nf rename to modules/bedtools/subtract/functions.nf diff --git a/software/bedtools/subtract/main.nf b/modules/bedtools/subtract/main.nf similarity index 100% rename from software/bedtools/subtract/main.nf rename to modules/bedtools/subtract/main.nf diff --git a/software/bedtools/subtract/meta.yml b/modules/bedtools/subtract/meta.yml similarity index 100% rename from software/bedtools/subtract/meta.yml rename to modules/bedtools/subtract/meta.yml diff --git a/software/bismark/align/functions.nf b/modules/bismark/align/functions.nf similarity index 100% rename from software/bismark/align/functions.nf rename to modules/bismark/align/functions.nf diff --git a/software/bismark/align/main.nf b/modules/bismark/align/main.nf similarity index 100% rename from software/bismark/align/main.nf rename to modules/bismark/align/main.nf diff --git a/software/bismark/align/meta.yml b/modules/bismark/align/meta.yml similarity index 100% rename from software/bismark/align/meta.yml rename to modules/bismark/align/meta.yml diff --git a/software/bismark/deduplicate/functions.nf b/modules/bismark/deduplicate/functions.nf similarity index 100% rename from software/bismark/deduplicate/functions.nf rename to modules/bismark/deduplicate/functions.nf diff --git a/software/bismark/deduplicate/main.nf b/modules/bismark/deduplicate/main.nf similarity index 100% rename from software/bismark/deduplicate/main.nf rename to modules/bismark/deduplicate/main.nf diff --git a/software/bismark/deduplicate/meta.yml b/modules/bismark/deduplicate/meta.yml similarity index 100% rename from software/bismark/deduplicate/meta.yml rename to modules/bismark/deduplicate/meta.yml diff --git a/software/bismark/genomepreparation/functions.nf b/modules/bismark/genomepreparation/functions.nf similarity index 100% rename from software/bismark/genomepreparation/functions.nf rename to modules/bismark/genomepreparation/functions.nf diff --git a/software/bismark/genomepreparation/main.nf b/modules/bismark/genomepreparation/main.nf similarity index 100% rename from software/bismark/genomepreparation/main.nf rename to modules/bismark/genomepreparation/main.nf diff --git a/software/bismark/genomepreparation/meta.yml b/modules/bismark/genomepreparation/meta.yml similarity index 100% rename from software/bismark/genomepreparation/meta.yml rename to modules/bismark/genomepreparation/meta.yml diff --git a/software/bismark/methylationextractor/functions.nf b/modules/bismark/methylationextractor/functions.nf similarity index 100% rename from software/bismark/methylationextractor/functions.nf rename to modules/bismark/methylationextractor/functions.nf diff --git a/software/bismark/methylationextractor/main.nf b/modules/bismark/methylationextractor/main.nf similarity index 100% rename from software/bismark/methylationextractor/main.nf rename to modules/bismark/methylationextractor/main.nf diff --git a/software/bismark/methylationextractor/meta.yml b/modules/bismark/methylationextractor/meta.yml similarity index 100% rename from software/bismark/methylationextractor/meta.yml rename to modules/bismark/methylationextractor/meta.yml diff --git a/software/bismark/report/functions.nf b/modules/bismark/report/functions.nf similarity index 100% rename from software/bismark/report/functions.nf rename to modules/bismark/report/functions.nf diff --git a/software/bismark/report/main.nf b/modules/bismark/report/main.nf similarity index 100% rename from software/bismark/report/main.nf rename to modules/bismark/report/main.nf diff --git a/software/bismark/report/meta.yml b/modules/bismark/report/meta.yml similarity index 100% rename from software/bismark/report/meta.yml rename to modules/bismark/report/meta.yml diff --git a/software/bismark/summary/functions.nf b/modules/bismark/summary/functions.nf similarity index 100% rename from software/bismark/summary/functions.nf rename to modules/bismark/summary/functions.nf diff --git a/software/bismark/summary/main.nf b/modules/bismark/summary/main.nf similarity index 100% rename from software/bismark/summary/main.nf rename to modules/bismark/summary/main.nf diff --git a/software/bismark/summary/meta.yml b/modules/bismark/summary/meta.yml similarity index 100% rename from software/bismark/summary/meta.yml rename to modules/bismark/summary/meta.yml diff --git a/software/blast/blastn/functions.nf b/modules/blast/blastn/functions.nf similarity index 100% rename from software/blast/blastn/functions.nf rename to modules/blast/blastn/functions.nf diff --git a/software/blast/blastn/main.nf b/modules/blast/blastn/main.nf similarity index 100% rename from software/blast/blastn/main.nf rename to modules/blast/blastn/main.nf diff --git a/software/blast/blastn/meta.yml b/modules/blast/blastn/meta.yml similarity index 100% rename from software/blast/blastn/meta.yml rename to modules/blast/blastn/meta.yml diff --git a/software/blast/makeblastdb/functions.nf b/modules/blast/makeblastdb/functions.nf similarity index 100% rename from software/blast/makeblastdb/functions.nf rename to modules/blast/makeblastdb/functions.nf diff --git a/software/blast/makeblastdb/main.nf b/modules/blast/makeblastdb/main.nf similarity index 100% rename from software/blast/makeblastdb/main.nf rename to modules/blast/makeblastdb/main.nf diff --git a/software/blast/makeblastdb/meta.yml b/modules/blast/makeblastdb/meta.yml similarity index 100% rename from software/blast/makeblastdb/meta.yml rename to modules/blast/makeblastdb/meta.yml diff --git a/software/bowtie/align/functions.nf b/modules/bowtie/align/functions.nf similarity index 100% rename from software/bowtie/align/functions.nf rename to modules/bowtie/align/functions.nf diff --git a/software/bowtie/align/main.nf b/modules/bowtie/align/main.nf similarity index 100% rename from software/bowtie/align/main.nf rename to modules/bowtie/align/main.nf diff --git a/software/bowtie/align/meta.yml b/modules/bowtie/align/meta.yml similarity index 100% rename from software/bowtie/align/meta.yml rename to modules/bowtie/align/meta.yml diff --git a/software/bowtie/build/functions.nf b/modules/bowtie/build/functions.nf similarity index 100% rename from software/bowtie/build/functions.nf rename to modules/bowtie/build/functions.nf diff --git a/software/bowtie/build/main.nf b/modules/bowtie/build/main.nf similarity index 100% rename from software/bowtie/build/main.nf rename to modules/bowtie/build/main.nf diff --git a/software/bowtie/build/meta.yml b/modules/bowtie/build/meta.yml similarity index 100% rename from software/bowtie/build/meta.yml rename to modules/bowtie/build/meta.yml diff --git a/software/bowtie2/align/functions.nf b/modules/bowtie2/align/functions.nf similarity index 100% rename from software/bowtie2/align/functions.nf rename to modules/bowtie2/align/functions.nf diff --git a/software/bowtie2/align/main.nf b/modules/bowtie2/align/main.nf similarity index 100% rename from software/bowtie2/align/main.nf rename to modules/bowtie2/align/main.nf diff --git a/software/bowtie2/align/meta.yml b/modules/bowtie2/align/meta.yml similarity index 100% rename from software/bowtie2/align/meta.yml rename to modules/bowtie2/align/meta.yml diff --git a/software/bowtie2/build/functions.nf b/modules/bowtie2/build/functions.nf similarity index 100% rename from software/bowtie2/build/functions.nf rename to modules/bowtie2/build/functions.nf diff --git a/software/bowtie2/build/main.nf b/modules/bowtie2/build/main.nf similarity index 100% rename from software/bowtie2/build/main.nf rename to modules/bowtie2/build/main.nf diff --git a/software/bowtie2/build/meta.yml b/modules/bowtie2/build/meta.yml similarity index 100% rename from software/bowtie2/build/meta.yml rename to modules/bowtie2/build/meta.yml diff --git a/software/bwa/index/functions.nf b/modules/bwa/index/functions.nf similarity index 100% rename from software/bwa/index/functions.nf rename to modules/bwa/index/functions.nf diff --git a/software/bwa/index/main.nf b/modules/bwa/index/main.nf similarity index 100% rename from software/bwa/index/main.nf rename to modules/bwa/index/main.nf diff --git a/software/bwa/index/meta.yml b/modules/bwa/index/meta.yml similarity index 100% rename from software/bwa/index/meta.yml rename to modules/bwa/index/meta.yml diff --git a/software/bwa/mem/functions.nf b/modules/bwa/mem/functions.nf similarity index 100% rename from software/bwa/mem/functions.nf rename to modules/bwa/mem/functions.nf diff --git a/software/bwa/mem/main.nf b/modules/bwa/mem/main.nf similarity index 100% rename from software/bwa/mem/main.nf rename to modules/bwa/mem/main.nf diff --git a/software/bwa/mem/meta.yml b/modules/bwa/mem/meta.yml similarity index 100% rename from software/bwa/mem/meta.yml rename to modules/bwa/mem/meta.yml diff --git a/software/bwamem2/index/functions.nf b/modules/bwamem2/index/functions.nf similarity index 100% rename from software/bwamem2/index/functions.nf rename to modules/bwamem2/index/functions.nf diff --git a/software/bwamem2/index/main.nf b/modules/bwamem2/index/main.nf similarity index 100% rename from software/bwamem2/index/main.nf rename to modules/bwamem2/index/main.nf diff --git a/software/bwamem2/index/meta.yml b/modules/bwamem2/index/meta.yml similarity index 100% rename from software/bwamem2/index/meta.yml rename to modules/bwamem2/index/meta.yml diff --git a/software/bwamem2/mem/functions.nf b/modules/bwamem2/mem/functions.nf similarity index 100% rename from software/bwamem2/mem/functions.nf rename to modules/bwamem2/mem/functions.nf diff --git a/software/bwamem2/mem/main.nf b/modules/bwamem2/mem/main.nf similarity index 100% rename from software/bwamem2/mem/main.nf rename to modules/bwamem2/mem/main.nf diff --git a/software/bwamem2/mem/meta.yml b/modules/bwamem2/mem/meta.yml similarity index 100% rename from software/bwamem2/mem/meta.yml rename to modules/bwamem2/mem/meta.yml diff --git a/software/bwameth/align/functions.nf b/modules/bwameth/align/functions.nf similarity index 100% rename from software/bwameth/align/functions.nf rename to modules/bwameth/align/functions.nf diff --git a/software/bwameth/align/main.nf b/modules/bwameth/align/main.nf similarity index 100% rename from software/bwameth/align/main.nf rename to modules/bwameth/align/main.nf diff --git a/software/bwameth/align/meta.yml b/modules/bwameth/align/meta.yml similarity index 100% rename from software/bwameth/align/meta.yml rename to modules/bwameth/align/meta.yml diff --git a/software/bwameth/index/functions.nf b/modules/bwameth/index/functions.nf similarity index 100% rename from software/bwameth/index/functions.nf rename to modules/bwameth/index/functions.nf diff --git a/software/bwameth/index/main.nf b/modules/bwameth/index/main.nf similarity index 100% rename from software/bwameth/index/main.nf rename to modules/bwameth/index/main.nf diff --git a/software/bwameth/index/meta.yml b/modules/bwameth/index/meta.yml similarity index 100% rename from software/bwameth/index/meta.yml rename to modules/bwameth/index/meta.yml diff --git a/software/cat/fastq/functions.nf b/modules/cat/fastq/functions.nf similarity index 100% rename from software/cat/fastq/functions.nf rename to modules/cat/fastq/functions.nf diff --git a/software/cat/fastq/main.nf b/modules/cat/fastq/main.nf similarity index 100% rename from software/cat/fastq/main.nf rename to modules/cat/fastq/main.nf diff --git a/software/cat/fastq/meta.yml b/modules/cat/fastq/meta.yml similarity index 100% rename from software/cat/fastq/meta.yml rename to modules/cat/fastq/meta.yml diff --git a/software/cnvkit/functions.nf b/modules/cnvkit/functions.nf similarity index 100% rename from software/cnvkit/functions.nf rename to modules/cnvkit/functions.nf diff --git a/software/cnvkit/main.nf b/modules/cnvkit/main.nf similarity index 100% rename from software/cnvkit/main.nf rename to modules/cnvkit/main.nf diff --git a/software/cnvkit/meta.yml b/modules/cnvkit/meta.yml similarity index 100% rename from software/cnvkit/meta.yml rename to modules/cnvkit/meta.yml diff --git a/software/cooler/digest/functions.nf b/modules/cooler/digest/functions.nf similarity index 100% rename from software/cooler/digest/functions.nf rename to modules/cooler/digest/functions.nf diff --git a/software/cooler/digest/main.nf b/modules/cooler/digest/main.nf similarity index 100% rename from software/cooler/digest/main.nf rename to modules/cooler/digest/main.nf diff --git a/software/cooler/digest/meta.yml b/modules/cooler/digest/meta.yml similarity index 100% rename from software/cooler/digest/meta.yml rename to modules/cooler/digest/meta.yml diff --git a/software/cooler/dump/functions.nf b/modules/cooler/dump/functions.nf similarity index 100% rename from software/cooler/dump/functions.nf rename to modules/cooler/dump/functions.nf diff --git a/software/cooler/dump/main.nf b/modules/cooler/dump/main.nf similarity index 100% rename from software/cooler/dump/main.nf rename to modules/cooler/dump/main.nf diff --git a/software/cooler/dump/meta.yml b/modules/cooler/dump/meta.yml similarity index 100% rename from software/cooler/dump/meta.yml rename to modules/cooler/dump/meta.yml diff --git a/software/cutadapt/functions.nf b/modules/cutadapt/functions.nf similarity index 100% rename from software/cutadapt/functions.nf rename to modules/cutadapt/functions.nf diff --git a/software/cutadapt/main.nf b/modules/cutadapt/main.nf similarity index 100% rename from software/cutadapt/main.nf rename to modules/cutadapt/main.nf diff --git a/software/cutadapt/meta.yml b/modules/cutadapt/meta.yml similarity index 100% rename from software/cutadapt/meta.yml rename to modules/cutadapt/meta.yml diff --git a/software/damageprofiler/functions.nf b/modules/damageprofiler/functions.nf similarity index 100% rename from software/damageprofiler/functions.nf rename to modules/damageprofiler/functions.nf diff --git a/software/damageprofiler/main.nf b/modules/damageprofiler/main.nf similarity index 100% rename from software/damageprofiler/main.nf rename to modules/damageprofiler/main.nf diff --git a/software/damageprofiler/meta.yml b/modules/damageprofiler/meta.yml similarity index 100% rename from software/damageprofiler/meta.yml rename to modules/damageprofiler/meta.yml diff --git a/software/deeptools/computematrix/functions.nf b/modules/deeptools/computematrix/functions.nf similarity index 100% rename from software/deeptools/computematrix/functions.nf rename to modules/deeptools/computematrix/functions.nf diff --git a/software/deeptools/computematrix/main.nf b/modules/deeptools/computematrix/main.nf similarity index 100% rename from software/deeptools/computematrix/main.nf rename to modules/deeptools/computematrix/main.nf diff --git a/software/deeptools/computematrix/meta.yml b/modules/deeptools/computematrix/meta.yml similarity index 100% rename from software/deeptools/computematrix/meta.yml rename to modules/deeptools/computematrix/meta.yml diff --git a/software/deeptools/plotfingerprint/functions.nf b/modules/deeptools/plotfingerprint/functions.nf similarity index 100% rename from software/deeptools/plotfingerprint/functions.nf rename to modules/deeptools/plotfingerprint/functions.nf diff --git a/software/deeptools/plotfingerprint/main.nf b/modules/deeptools/plotfingerprint/main.nf similarity index 100% rename from software/deeptools/plotfingerprint/main.nf rename to modules/deeptools/plotfingerprint/main.nf diff --git a/software/deeptools/plotfingerprint/meta.yml b/modules/deeptools/plotfingerprint/meta.yml similarity index 100% rename from software/deeptools/plotfingerprint/meta.yml rename to modules/deeptools/plotfingerprint/meta.yml diff --git a/software/deeptools/plotheatmap/functions.nf b/modules/deeptools/plotheatmap/functions.nf similarity index 100% rename from software/deeptools/plotheatmap/functions.nf rename to modules/deeptools/plotheatmap/functions.nf diff --git a/software/deeptools/plotheatmap/main.nf b/modules/deeptools/plotheatmap/main.nf similarity index 100% rename from software/deeptools/plotheatmap/main.nf rename to modules/deeptools/plotheatmap/main.nf diff --git a/software/deeptools/plotheatmap/meta.yml b/modules/deeptools/plotheatmap/meta.yml similarity index 100% rename from software/deeptools/plotheatmap/meta.yml rename to modules/deeptools/plotheatmap/meta.yml diff --git a/software/deeptools/plotprofile/functions.nf b/modules/deeptools/plotprofile/functions.nf similarity index 100% rename from software/deeptools/plotprofile/functions.nf rename to modules/deeptools/plotprofile/functions.nf diff --git a/software/deeptools/plotprofile/main.nf b/modules/deeptools/plotprofile/main.nf similarity index 100% rename from software/deeptools/plotprofile/main.nf rename to modules/deeptools/plotprofile/main.nf diff --git a/software/deeptools/plotprofile/meta.yml b/modules/deeptools/plotprofile/meta.yml similarity index 100% rename from software/deeptools/plotprofile/meta.yml rename to modules/deeptools/plotprofile/meta.yml diff --git a/software/delly/call/functions.nf b/modules/delly/call/functions.nf similarity index 100% rename from software/delly/call/functions.nf rename to modules/delly/call/functions.nf diff --git a/software/delly/call/main.nf b/modules/delly/call/main.nf similarity index 100% rename from software/delly/call/main.nf rename to modules/delly/call/main.nf diff --git a/software/delly/call/meta.yml b/modules/delly/call/meta.yml similarity index 100% rename from software/delly/call/meta.yml rename to modules/delly/call/meta.yml diff --git a/software/dshbio/filterbed/functions.nf b/modules/dshbio/filterbed/functions.nf similarity index 100% rename from software/dshbio/filterbed/functions.nf rename to modules/dshbio/filterbed/functions.nf diff --git a/software/dshbio/filterbed/main.nf b/modules/dshbio/filterbed/main.nf similarity index 100% rename from software/dshbio/filterbed/main.nf rename to modules/dshbio/filterbed/main.nf diff --git a/software/dshbio/filterbed/meta.yml b/modules/dshbio/filterbed/meta.yml similarity index 100% rename from software/dshbio/filterbed/meta.yml rename to modules/dshbio/filterbed/meta.yml diff --git a/software/dshbio/filtergff3/functions.nf b/modules/dshbio/filtergff3/functions.nf similarity index 100% rename from software/dshbio/filtergff3/functions.nf rename to modules/dshbio/filtergff3/functions.nf diff --git a/software/dshbio/filtergff3/main.nf b/modules/dshbio/filtergff3/main.nf similarity index 100% rename from software/dshbio/filtergff3/main.nf rename to modules/dshbio/filtergff3/main.nf diff --git a/software/dshbio/filtergff3/meta.yml b/modules/dshbio/filtergff3/meta.yml similarity index 100% rename from software/dshbio/filtergff3/meta.yml rename to modules/dshbio/filtergff3/meta.yml diff --git a/software/dshbio/splitbed/functions.nf b/modules/dshbio/splitbed/functions.nf similarity index 100% rename from software/dshbio/splitbed/functions.nf rename to modules/dshbio/splitbed/functions.nf diff --git a/software/dshbio/splitbed/main.nf b/modules/dshbio/splitbed/main.nf similarity index 100% rename from software/dshbio/splitbed/main.nf rename to modules/dshbio/splitbed/main.nf diff --git a/software/dshbio/splitbed/meta.yml b/modules/dshbio/splitbed/meta.yml similarity index 100% rename from software/dshbio/splitbed/meta.yml rename to modules/dshbio/splitbed/meta.yml diff --git a/software/dshbio/splitgff3/functions.nf b/modules/dshbio/splitgff3/functions.nf similarity index 100% rename from software/dshbio/splitgff3/functions.nf rename to modules/dshbio/splitgff3/functions.nf diff --git a/software/dshbio/splitgff3/main.nf b/modules/dshbio/splitgff3/main.nf similarity index 100% rename from software/dshbio/splitgff3/main.nf rename to modules/dshbio/splitgff3/main.nf diff --git a/software/dshbio/splitgff3/meta.yml b/modules/dshbio/splitgff3/meta.yml similarity index 100% rename from software/dshbio/splitgff3/meta.yml rename to modules/dshbio/splitgff3/meta.yml diff --git a/software/ensemblvep/Dockerfile b/modules/ensemblvep/Dockerfile similarity index 100% rename from software/ensemblvep/Dockerfile rename to modules/ensemblvep/Dockerfile diff --git a/software/ensemblvep/build.sh b/modules/ensemblvep/build.sh similarity index 100% rename from software/ensemblvep/build.sh rename to modules/ensemblvep/build.sh diff --git a/software/ensemblvep/environment.yml b/modules/ensemblvep/environment.yml similarity index 100% rename from software/ensemblvep/environment.yml rename to modules/ensemblvep/environment.yml diff --git a/software/ensemblvep/functions.nf b/modules/ensemblvep/functions.nf similarity index 100% rename from software/ensemblvep/functions.nf rename to modules/ensemblvep/functions.nf diff --git a/software/ensemblvep/main.nf b/modules/ensemblvep/main.nf similarity index 100% rename from software/ensemblvep/main.nf rename to modules/ensemblvep/main.nf diff --git a/software/ensemblvep/meta.yml b/modules/ensemblvep/meta.yml similarity index 100% rename from software/ensemblvep/meta.yml rename to modules/ensemblvep/meta.yml diff --git a/software/fastp/functions.nf b/modules/fastp/functions.nf similarity index 100% rename from software/fastp/functions.nf rename to modules/fastp/functions.nf diff --git a/software/fastp/main.nf b/modules/fastp/main.nf similarity index 100% rename from software/fastp/main.nf rename to modules/fastp/main.nf diff --git a/software/fastp/meta.yml b/modules/fastp/meta.yml similarity index 100% rename from software/fastp/meta.yml rename to modules/fastp/meta.yml diff --git a/software/fastqc/functions.nf b/modules/fastqc/functions.nf similarity index 100% rename from software/fastqc/functions.nf rename to modules/fastqc/functions.nf diff --git a/software/fastqc/main.nf b/modules/fastqc/main.nf similarity index 100% rename from software/fastqc/main.nf rename to modules/fastqc/main.nf diff --git a/software/fastqc/meta.yml b/modules/fastqc/meta.yml similarity index 100% rename from software/fastqc/meta.yml rename to modules/fastqc/meta.yml diff --git a/software/fasttree/functions.nf b/modules/fasttree/functions.nf similarity index 100% rename from software/fasttree/functions.nf rename to modules/fasttree/functions.nf diff --git a/software/fasttree/main.nf b/modules/fasttree/main.nf similarity index 100% rename from software/fasttree/main.nf rename to modules/fasttree/main.nf diff --git a/software/fasttree/meta.yml b/modules/fasttree/meta.yml similarity index 100% rename from software/fasttree/meta.yml rename to modules/fasttree/meta.yml diff --git a/software/fgbio/callmolecularconsensusreads/functions.nf b/modules/fgbio/callmolecularconsensusreads/functions.nf similarity index 100% rename from software/fgbio/callmolecularconsensusreads/functions.nf rename to modules/fgbio/callmolecularconsensusreads/functions.nf diff --git a/software/fgbio/callmolecularconsensusreads/main.nf b/modules/fgbio/callmolecularconsensusreads/main.nf similarity index 100% rename from software/fgbio/callmolecularconsensusreads/main.nf rename to modules/fgbio/callmolecularconsensusreads/main.nf diff --git a/software/fgbio/callmolecularconsensusreads/meta.yml b/modules/fgbio/callmolecularconsensusreads/meta.yml similarity index 100% rename from software/fgbio/callmolecularconsensusreads/meta.yml rename to modules/fgbio/callmolecularconsensusreads/meta.yml diff --git a/software/fgbio/sortbam/functions.nf b/modules/fgbio/sortbam/functions.nf similarity index 100% rename from software/fgbio/sortbam/functions.nf rename to modules/fgbio/sortbam/functions.nf diff --git a/software/fgbio/sortbam/main.nf b/modules/fgbio/sortbam/main.nf similarity index 100% rename from software/fgbio/sortbam/main.nf rename to modules/fgbio/sortbam/main.nf diff --git a/software/fgbio/sortbam/meta.yml b/modules/fgbio/sortbam/meta.yml similarity index 100% rename from software/fgbio/sortbam/meta.yml rename to modules/fgbio/sortbam/meta.yml diff --git a/software/flash/functions.nf b/modules/flash/functions.nf similarity index 100% rename from software/flash/functions.nf rename to modules/flash/functions.nf diff --git a/software/flash/main.nf b/modules/flash/main.nf similarity index 100% rename from software/flash/main.nf rename to modules/flash/main.nf diff --git a/software/flash/meta.yml b/modules/flash/meta.yml similarity index 100% rename from software/flash/meta.yml rename to modules/flash/meta.yml diff --git a/software/gatk4/applybqsr/functions.nf b/modules/gatk4/applybqsr/functions.nf similarity index 100% rename from software/gatk4/applybqsr/functions.nf rename to modules/gatk4/applybqsr/functions.nf diff --git a/software/gatk4/applybqsr/main.nf b/modules/gatk4/applybqsr/main.nf similarity index 100% rename from software/gatk4/applybqsr/main.nf rename to modules/gatk4/applybqsr/main.nf diff --git a/software/gatk4/applybqsr/meta.yml b/modules/gatk4/applybqsr/meta.yml similarity index 100% rename from software/gatk4/applybqsr/meta.yml rename to modules/gatk4/applybqsr/meta.yml diff --git a/software/gatk4/baserecalibrator/functions.nf b/modules/gatk4/baserecalibrator/functions.nf similarity index 100% rename from software/gatk4/baserecalibrator/functions.nf rename to modules/gatk4/baserecalibrator/functions.nf diff --git a/software/gatk4/baserecalibrator/main.nf b/modules/gatk4/baserecalibrator/main.nf similarity index 100% rename from software/gatk4/baserecalibrator/main.nf rename to modules/gatk4/baserecalibrator/main.nf diff --git a/software/gatk4/baserecalibrator/meta.yml b/modules/gatk4/baserecalibrator/meta.yml similarity index 100% rename from software/gatk4/baserecalibrator/meta.yml rename to modules/gatk4/baserecalibrator/meta.yml diff --git a/software/gatk4/bedtointervallist/functions.nf b/modules/gatk4/bedtointervallist/functions.nf similarity index 100% rename from software/gatk4/bedtointervallist/functions.nf rename to modules/gatk4/bedtointervallist/functions.nf diff --git a/software/gatk4/bedtointervallist/main.nf b/modules/gatk4/bedtointervallist/main.nf similarity index 100% rename from software/gatk4/bedtointervallist/main.nf rename to modules/gatk4/bedtointervallist/main.nf diff --git a/software/gatk4/bedtointervallist/meta.yml b/modules/gatk4/bedtointervallist/meta.yml similarity index 100% rename from software/gatk4/bedtointervallist/meta.yml rename to modules/gatk4/bedtointervallist/meta.yml diff --git a/software/gatk4/createsequencedictionary/functions.nf b/modules/gatk4/createsequencedictionary/functions.nf similarity index 100% rename from software/gatk4/createsequencedictionary/functions.nf rename to modules/gatk4/createsequencedictionary/functions.nf diff --git a/software/gatk4/createsequencedictionary/main.nf b/modules/gatk4/createsequencedictionary/main.nf similarity index 100% rename from software/gatk4/createsequencedictionary/main.nf rename to modules/gatk4/createsequencedictionary/main.nf diff --git a/software/gatk4/createsequencedictionary/meta.yml b/modules/gatk4/createsequencedictionary/meta.yml similarity index 100% rename from software/gatk4/createsequencedictionary/meta.yml rename to modules/gatk4/createsequencedictionary/meta.yml diff --git a/software/gatk4/fastqtosam/functions.nf b/modules/gatk4/fastqtosam/functions.nf similarity index 100% rename from software/gatk4/fastqtosam/functions.nf rename to modules/gatk4/fastqtosam/functions.nf diff --git a/software/gatk4/fastqtosam/main.nf b/modules/gatk4/fastqtosam/main.nf similarity index 100% rename from software/gatk4/fastqtosam/main.nf rename to modules/gatk4/fastqtosam/main.nf diff --git a/software/gatk4/fastqtosam/meta.yml b/modules/gatk4/fastqtosam/meta.yml similarity index 100% rename from software/gatk4/fastqtosam/meta.yml rename to modules/gatk4/fastqtosam/meta.yml diff --git a/software/gatk4/haplotypecaller/functions.nf b/modules/gatk4/haplotypecaller/functions.nf similarity index 100% rename from software/gatk4/haplotypecaller/functions.nf rename to modules/gatk4/haplotypecaller/functions.nf diff --git a/software/gatk4/haplotypecaller/main.nf b/modules/gatk4/haplotypecaller/main.nf similarity index 100% rename from software/gatk4/haplotypecaller/main.nf rename to modules/gatk4/haplotypecaller/main.nf diff --git a/software/gatk4/haplotypecaller/meta.yml b/modules/gatk4/haplotypecaller/meta.yml similarity index 100% rename from software/gatk4/haplotypecaller/meta.yml rename to modules/gatk4/haplotypecaller/meta.yml diff --git a/software/gatk4/intervallisttools/functions.nf b/modules/gatk4/intervallisttools/functions.nf similarity index 100% rename from software/gatk4/intervallisttools/functions.nf rename to modules/gatk4/intervallisttools/functions.nf diff --git a/software/gatk4/intervallisttools/main.nf b/modules/gatk4/intervallisttools/main.nf similarity index 100% rename from software/gatk4/intervallisttools/main.nf rename to modules/gatk4/intervallisttools/main.nf diff --git a/software/gatk4/intervallisttools/meta.yml b/modules/gatk4/intervallisttools/meta.yml similarity index 100% rename from software/gatk4/intervallisttools/meta.yml rename to modules/gatk4/intervallisttools/meta.yml diff --git a/software/gatk4/markduplicates/functions.nf b/modules/gatk4/markduplicates/functions.nf similarity index 100% rename from software/gatk4/markduplicates/functions.nf rename to modules/gatk4/markduplicates/functions.nf diff --git a/software/gatk4/markduplicates/main.nf b/modules/gatk4/markduplicates/main.nf similarity index 100% rename from software/gatk4/markduplicates/main.nf rename to modules/gatk4/markduplicates/main.nf diff --git a/software/gatk4/markduplicates/meta.yml b/modules/gatk4/markduplicates/meta.yml similarity index 100% rename from software/gatk4/markduplicates/meta.yml rename to modules/gatk4/markduplicates/meta.yml diff --git a/software/gatk4/mergebamalignment/functions.nf b/modules/gatk4/mergebamalignment/functions.nf similarity index 100% rename from software/gatk4/mergebamalignment/functions.nf rename to modules/gatk4/mergebamalignment/functions.nf diff --git a/software/gatk4/mergebamalignment/main.nf b/modules/gatk4/mergebamalignment/main.nf similarity index 100% rename from software/gatk4/mergebamalignment/main.nf rename to modules/gatk4/mergebamalignment/main.nf diff --git a/software/gatk4/mergebamalignment/meta.yml b/modules/gatk4/mergebamalignment/meta.yml similarity index 100% rename from software/gatk4/mergebamalignment/meta.yml rename to modules/gatk4/mergebamalignment/meta.yml diff --git a/software/gatk4/mergevcfs/functions.nf b/modules/gatk4/mergevcfs/functions.nf similarity index 100% rename from software/gatk4/mergevcfs/functions.nf rename to modules/gatk4/mergevcfs/functions.nf diff --git a/software/gatk4/mergevcfs/main.nf b/modules/gatk4/mergevcfs/main.nf similarity index 100% rename from software/gatk4/mergevcfs/main.nf rename to modules/gatk4/mergevcfs/main.nf diff --git a/software/gatk4/mergevcfs/meta.yml b/modules/gatk4/mergevcfs/meta.yml similarity index 100% rename from software/gatk4/mergevcfs/meta.yml rename to modules/gatk4/mergevcfs/meta.yml diff --git a/software/gatk4/revertsam/functions.nf b/modules/gatk4/revertsam/functions.nf similarity index 100% rename from software/gatk4/revertsam/functions.nf rename to modules/gatk4/revertsam/functions.nf diff --git a/software/gatk4/revertsam/main.nf b/modules/gatk4/revertsam/main.nf similarity index 100% rename from software/gatk4/revertsam/main.nf rename to modules/gatk4/revertsam/main.nf diff --git a/software/gatk4/revertsam/meta.yml b/modules/gatk4/revertsam/meta.yml similarity index 100% rename from software/gatk4/revertsam/meta.yml rename to modules/gatk4/revertsam/meta.yml diff --git a/software/gatk4/samtofastq/functions.nf b/modules/gatk4/samtofastq/functions.nf similarity index 100% rename from software/gatk4/samtofastq/functions.nf rename to modules/gatk4/samtofastq/functions.nf diff --git a/software/gatk4/samtofastq/main.nf b/modules/gatk4/samtofastq/main.nf similarity index 100% rename from software/gatk4/samtofastq/main.nf rename to modules/gatk4/samtofastq/main.nf diff --git a/software/gatk4/samtofastq/meta.yml b/modules/gatk4/samtofastq/meta.yml similarity index 100% rename from software/gatk4/samtofastq/meta.yml rename to modules/gatk4/samtofastq/meta.yml diff --git a/software/gatk4/splitncigarreads/functions.nf b/modules/gatk4/splitncigarreads/functions.nf similarity index 100% rename from software/gatk4/splitncigarreads/functions.nf rename to modules/gatk4/splitncigarreads/functions.nf diff --git a/software/gatk4/splitncigarreads/main.nf b/modules/gatk4/splitncigarreads/main.nf similarity index 100% rename from software/gatk4/splitncigarreads/main.nf rename to modules/gatk4/splitncigarreads/main.nf diff --git a/software/gatk4/splitncigarreads/meta.yml b/modules/gatk4/splitncigarreads/meta.yml similarity index 100% rename from software/gatk4/splitncigarreads/meta.yml rename to modules/gatk4/splitncigarreads/meta.yml diff --git a/software/gatk4/variantfiltration/functions.nf b/modules/gatk4/variantfiltration/functions.nf similarity index 100% rename from software/gatk4/variantfiltration/functions.nf rename to modules/gatk4/variantfiltration/functions.nf diff --git a/software/gatk4/variantfiltration/main.nf b/modules/gatk4/variantfiltration/main.nf similarity index 100% rename from software/gatk4/variantfiltration/main.nf rename to modules/gatk4/variantfiltration/main.nf diff --git a/software/gatk4/variantfiltration/meta.yml b/modules/gatk4/variantfiltration/meta.yml similarity index 100% rename from software/gatk4/variantfiltration/meta.yml rename to modules/gatk4/variantfiltration/meta.yml diff --git a/software/genmap/index/functions.nf b/modules/genmap/index/functions.nf similarity index 100% rename from software/genmap/index/functions.nf rename to modules/genmap/index/functions.nf diff --git a/software/genmap/index/main.nf b/modules/genmap/index/main.nf similarity index 100% rename from software/genmap/index/main.nf rename to modules/genmap/index/main.nf diff --git a/software/genmap/index/meta.yml b/modules/genmap/index/meta.yml similarity index 100% rename from software/genmap/index/meta.yml rename to modules/genmap/index/meta.yml diff --git a/software/genmap/mappability/functions.nf b/modules/genmap/mappability/functions.nf similarity index 100% rename from software/genmap/mappability/functions.nf rename to modules/genmap/mappability/functions.nf diff --git a/software/genmap/mappability/main.nf b/modules/genmap/mappability/main.nf similarity index 100% rename from software/genmap/mappability/main.nf rename to modules/genmap/mappability/main.nf diff --git a/software/genmap/mappability/meta.yml b/modules/genmap/mappability/meta.yml similarity index 100% rename from software/genmap/mappability/meta.yml rename to modules/genmap/mappability/meta.yml diff --git a/software/gffread/functions.nf b/modules/gffread/functions.nf similarity index 100% rename from software/gffread/functions.nf rename to modules/gffread/functions.nf diff --git a/software/gffread/main.nf b/modules/gffread/main.nf similarity index 100% rename from software/gffread/main.nf rename to modules/gffread/main.nf diff --git a/software/gffread/meta.yml b/modules/gffread/meta.yml similarity index 100% rename from software/gffread/meta.yml rename to modules/gffread/meta.yml diff --git a/software/graphmap2/align/functions.nf b/modules/graphmap2/align/functions.nf similarity index 100% rename from software/graphmap2/align/functions.nf rename to modules/graphmap2/align/functions.nf diff --git a/software/graphmap2/align/main.nf b/modules/graphmap2/align/main.nf similarity index 100% rename from software/graphmap2/align/main.nf rename to modules/graphmap2/align/main.nf diff --git a/software/graphmap2/align/meta.yml b/modules/graphmap2/align/meta.yml similarity index 100% rename from software/graphmap2/align/meta.yml rename to modules/graphmap2/align/meta.yml diff --git a/software/graphmap2/index/functions.nf b/modules/graphmap2/index/functions.nf similarity index 100% rename from software/graphmap2/index/functions.nf rename to modules/graphmap2/index/functions.nf diff --git a/software/graphmap2/index/main.nf b/modules/graphmap2/index/main.nf similarity index 100% rename from software/graphmap2/index/main.nf rename to modules/graphmap2/index/main.nf diff --git a/software/graphmap2/index/meta.yml b/modules/graphmap2/index/meta.yml similarity index 100% rename from software/graphmap2/index/meta.yml rename to modules/graphmap2/index/meta.yml diff --git a/software/gubbins/functions.nf b/modules/gubbins/functions.nf similarity index 100% rename from software/gubbins/functions.nf rename to modules/gubbins/functions.nf diff --git a/software/gubbins/main.nf b/modules/gubbins/main.nf similarity index 100% rename from software/gubbins/main.nf rename to modules/gubbins/main.nf diff --git a/software/gubbins/meta.yml b/modules/gubbins/meta.yml similarity index 100% rename from software/gubbins/meta.yml rename to modules/gubbins/meta.yml diff --git a/software/gunzip/functions.nf b/modules/gunzip/functions.nf similarity index 100% rename from software/gunzip/functions.nf rename to modules/gunzip/functions.nf diff --git a/software/gunzip/main.nf b/modules/gunzip/main.nf similarity index 100% rename from software/gunzip/main.nf rename to modules/gunzip/main.nf diff --git a/software/gunzip/meta.yml b/modules/gunzip/meta.yml similarity index 100% rename from software/gunzip/meta.yml rename to modules/gunzip/meta.yml diff --git a/software/hisat2/align/functions.nf b/modules/hisat2/align/functions.nf similarity index 100% rename from software/hisat2/align/functions.nf rename to modules/hisat2/align/functions.nf diff --git a/software/hisat2/align/main.nf b/modules/hisat2/align/main.nf similarity index 100% rename from software/hisat2/align/main.nf rename to modules/hisat2/align/main.nf diff --git a/software/hisat2/align/meta.yml b/modules/hisat2/align/meta.yml similarity index 100% rename from software/hisat2/align/meta.yml rename to modules/hisat2/align/meta.yml diff --git a/software/hisat2/build/functions.nf b/modules/hisat2/build/functions.nf similarity index 100% rename from software/hisat2/build/functions.nf rename to modules/hisat2/build/functions.nf diff --git a/software/hisat2/build/main.nf b/modules/hisat2/build/main.nf similarity index 100% rename from software/hisat2/build/main.nf rename to modules/hisat2/build/main.nf diff --git a/software/hisat2/build/meta.yml b/modules/hisat2/build/meta.yml similarity index 100% rename from software/hisat2/build/meta.yml rename to modules/hisat2/build/meta.yml diff --git a/software/hisat2/extractsplicesites/functions.nf b/modules/hisat2/extractsplicesites/functions.nf similarity index 100% rename from software/hisat2/extractsplicesites/functions.nf rename to modules/hisat2/extractsplicesites/functions.nf diff --git a/software/hisat2/extractsplicesites/main.nf b/modules/hisat2/extractsplicesites/main.nf similarity index 100% rename from software/hisat2/extractsplicesites/main.nf rename to modules/hisat2/extractsplicesites/main.nf diff --git a/software/hisat2/extractsplicesites/meta.yml b/modules/hisat2/extractsplicesites/meta.yml similarity index 100% rename from software/hisat2/extractsplicesites/meta.yml rename to modules/hisat2/extractsplicesites/meta.yml diff --git a/software/hmmer/hmmalign/functions.nf b/modules/hmmer/hmmalign/functions.nf similarity index 100% rename from software/hmmer/hmmalign/functions.nf rename to modules/hmmer/hmmalign/functions.nf diff --git a/software/hmmer/hmmalign/main.nf b/modules/hmmer/hmmalign/main.nf similarity index 100% rename from software/hmmer/hmmalign/main.nf rename to modules/hmmer/hmmalign/main.nf diff --git a/software/hmmer/hmmalign/meta.yml b/modules/hmmer/hmmalign/meta.yml similarity index 100% rename from software/hmmer/hmmalign/meta.yml rename to modules/hmmer/hmmalign/meta.yml diff --git a/software/homer/annotatepeaks/functions.nf b/modules/homer/annotatepeaks/functions.nf similarity index 100% rename from software/homer/annotatepeaks/functions.nf rename to modules/homer/annotatepeaks/functions.nf diff --git a/software/homer/annotatepeaks/main.nf b/modules/homer/annotatepeaks/main.nf similarity index 100% rename from software/homer/annotatepeaks/main.nf rename to modules/homer/annotatepeaks/main.nf diff --git a/software/homer/annotatepeaks/meta.yml b/modules/homer/annotatepeaks/meta.yml similarity index 100% rename from software/homer/annotatepeaks/meta.yml rename to modules/homer/annotatepeaks/meta.yml diff --git a/software/iqtree/functions.nf b/modules/iqtree/functions.nf similarity index 100% rename from software/iqtree/functions.nf rename to modules/iqtree/functions.nf diff --git a/software/iqtree/main.nf b/modules/iqtree/main.nf similarity index 100% rename from software/iqtree/main.nf rename to modules/iqtree/main.nf diff --git a/software/iqtree/meta.yml b/modules/iqtree/meta.yml similarity index 100% rename from software/iqtree/meta.yml rename to modules/iqtree/meta.yml diff --git a/software/ivar/consensus/functions.nf b/modules/ivar/consensus/functions.nf similarity index 100% rename from software/ivar/consensus/functions.nf rename to modules/ivar/consensus/functions.nf diff --git a/software/ivar/consensus/main.nf b/modules/ivar/consensus/main.nf similarity index 100% rename from software/ivar/consensus/main.nf rename to modules/ivar/consensus/main.nf diff --git a/software/ivar/consensus/meta.yml b/modules/ivar/consensus/meta.yml similarity index 100% rename from software/ivar/consensus/meta.yml rename to modules/ivar/consensus/meta.yml diff --git a/software/ivar/trim/functions.nf b/modules/ivar/trim/functions.nf similarity index 100% rename from software/ivar/trim/functions.nf rename to modules/ivar/trim/functions.nf diff --git a/software/ivar/trim/main.nf b/modules/ivar/trim/main.nf similarity index 100% rename from software/ivar/trim/main.nf rename to modules/ivar/trim/main.nf diff --git a/software/ivar/trim/meta.yml b/modules/ivar/trim/meta.yml similarity index 100% rename from software/ivar/trim/meta.yml rename to modules/ivar/trim/meta.yml diff --git a/software/ivar/variants/functions.nf b/modules/ivar/variants/functions.nf similarity index 100% rename from software/ivar/variants/functions.nf rename to modules/ivar/variants/functions.nf diff --git a/software/ivar/variants/main.nf b/modules/ivar/variants/main.nf similarity index 100% rename from software/ivar/variants/main.nf rename to modules/ivar/variants/main.nf diff --git a/software/ivar/variants/meta.yml b/modules/ivar/variants/meta.yml similarity index 100% rename from software/ivar/variants/meta.yml rename to modules/ivar/variants/meta.yml diff --git a/software/kallisto/index/functions.nf b/modules/kallisto/index/functions.nf similarity index 100% rename from software/kallisto/index/functions.nf rename to modules/kallisto/index/functions.nf diff --git a/software/kallisto/index/main.nf b/modules/kallisto/index/main.nf similarity index 100% rename from software/kallisto/index/main.nf rename to modules/kallisto/index/main.nf diff --git a/software/kallisto/index/meta.yml b/modules/kallisto/index/meta.yml similarity index 100% rename from software/kallisto/index/meta.yml rename to modules/kallisto/index/meta.yml diff --git a/software/kallistobustools/count/functions.nf b/modules/kallistobustools/count/functions.nf similarity index 100% rename from software/kallistobustools/count/functions.nf rename to modules/kallistobustools/count/functions.nf diff --git a/software/kallistobustools/count/main.nf b/modules/kallistobustools/count/main.nf similarity index 100% rename from software/kallistobustools/count/main.nf rename to modules/kallistobustools/count/main.nf diff --git a/software/kallistobustools/count/meta.yml b/modules/kallistobustools/count/meta.yml similarity index 100% rename from software/kallistobustools/count/meta.yml rename to modules/kallistobustools/count/meta.yml diff --git a/software/kallistobustools/ref/functions.nf b/modules/kallistobustools/ref/functions.nf similarity index 100% rename from software/kallistobustools/ref/functions.nf rename to modules/kallistobustools/ref/functions.nf diff --git a/software/kallistobustools/ref/main.nf b/modules/kallistobustools/ref/main.nf similarity index 100% rename from software/kallistobustools/ref/main.nf rename to modules/kallistobustools/ref/main.nf diff --git a/software/kallistobustools/ref/meta.yml b/modules/kallistobustools/ref/meta.yml similarity index 100% rename from software/kallistobustools/ref/meta.yml rename to modules/kallistobustools/ref/meta.yml diff --git a/software/kraken2/kraken2/functions.nf b/modules/kraken2/kraken2/functions.nf similarity index 100% rename from software/kraken2/kraken2/functions.nf rename to modules/kraken2/kraken2/functions.nf diff --git a/software/kraken2/kraken2/main.nf b/modules/kraken2/kraken2/main.nf similarity index 100% rename from software/kraken2/kraken2/main.nf rename to modules/kraken2/kraken2/main.nf diff --git a/software/kraken2/kraken2/meta.yml b/modules/kraken2/kraken2/meta.yml similarity index 100% rename from software/kraken2/kraken2/meta.yml rename to modules/kraken2/kraken2/meta.yml diff --git a/software/last/dotplot/functions.nf b/modules/last/dotplot/functions.nf similarity index 100% rename from software/last/dotplot/functions.nf rename to modules/last/dotplot/functions.nf diff --git a/software/last/dotplot/main.nf b/modules/last/dotplot/main.nf similarity index 100% rename from software/last/dotplot/main.nf rename to modules/last/dotplot/main.nf diff --git a/software/last/dotplot/meta.yml b/modules/last/dotplot/meta.yml similarity index 100% rename from software/last/dotplot/meta.yml rename to modules/last/dotplot/meta.yml diff --git a/software/last/lastal/functions.nf b/modules/last/lastal/functions.nf similarity index 100% rename from software/last/lastal/functions.nf rename to modules/last/lastal/functions.nf diff --git a/software/last/lastal/main.nf b/modules/last/lastal/main.nf similarity index 100% rename from software/last/lastal/main.nf rename to modules/last/lastal/main.nf diff --git a/software/last/lastal/meta.yml b/modules/last/lastal/meta.yml similarity index 100% rename from software/last/lastal/meta.yml rename to modules/last/lastal/meta.yml diff --git a/software/last/lastdb/functions.nf b/modules/last/lastdb/functions.nf similarity index 100% rename from software/last/lastdb/functions.nf rename to modules/last/lastdb/functions.nf diff --git a/software/last/lastdb/main.nf b/modules/last/lastdb/main.nf similarity index 100% rename from software/last/lastdb/main.nf rename to modules/last/lastdb/main.nf diff --git a/software/last/lastdb/meta.yml b/modules/last/lastdb/meta.yml similarity index 100% rename from software/last/lastdb/meta.yml rename to modules/last/lastdb/meta.yml diff --git a/software/last/mafconvert/functions.nf b/modules/last/mafconvert/functions.nf similarity index 100% rename from software/last/mafconvert/functions.nf rename to modules/last/mafconvert/functions.nf diff --git a/software/last/mafconvert/main.nf b/modules/last/mafconvert/main.nf similarity index 100% rename from software/last/mafconvert/main.nf rename to modules/last/mafconvert/main.nf diff --git a/software/last/mafconvert/meta.yml b/modules/last/mafconvert/meta.yml similarity index 100% rename from software/last/mafconvert/meta.yml rename to modules/last/mafconvert/meta.yml diff --git a/software/last/mafswap/functions.nf b/modules/last/mafswap/functions.nf similarity index 100% rename from software/last/mafswap/functions.nf rename to modules/last/mafswap/functions.nf diff --git a/software/last/mafswap/main.nf b/modules/last/mafswap/main.nf similarity index 100% rename from software/last/mafswap/main.nf rename to modules/last/mafswap/main.nf diff --git a/software/last/mafswap/meta.yml b/modules/last/mafswap/meta.yml similarity index 100% rename from software/last/mafswap/meta.yml rename to modules/last/mafswap/meta.yml diff --git a/software/last/postmask/functions.nf b/modules/last/postmask/functions.nf similarity index 100% rename from software/last/postmask/functions.nf rename to modules/last/postmask/functions.nf diff --git a/software/last/postmask/main.nf b/modules/last/postmask/main.nf similarity index 100% rename from software/last/postmask/main.nf rename to modules/last/postmask/main.nf diff --git a/software/last/postmask/meta.yml b/modules/last/postmask/meta.yml similarity index 100% rename from software/last/postmask/meta.yml rename to modules/last/postmask/meta.yml diff --git a/software/last/split/functions.nf b/modules/last/split/functions.nf similarity index 100% rename from software/last/split/functions.nf rename to modules/last/split/functions.nf diff --git a/software/last/split/main.nf b/modules/last/split/main.nf similarity index 100% rename from software/last/split/main.nf rename to modules/last/split/main.nf diff --git a/software/last/split/meta.yml b/modules/last/split/meta.yml similarity index 100% rename from software/last/split/meta.yml rename to modules/last/split/meta.yml diff --git a/software/last/train/functions.nf b/modules/last/train/functions.nf similarity index 100% rename from software/last/train/functions.nf rename to modules/last/train/functions.nf diff --git a/software/last/train/main.nf b/modules/last/train/main.nf similarity index 100% rename from software/last/train/main.nf rename to modules/last/train/main.nf diff --git a/software/last/train/meta.yml b/modules/last/train/meta.yml similarity index 100% rename from software/last/train/meta.yml rename to modules/last/train/meta.yml diff --git a/software/lib/functions.nf b/modules/lib/functions.nf similarity index 100% rename from software/lib/functions.nf rename to modules/lib/functions.nf diff --git a/software/lofreq/call/functions.nf b/modules/lofreq/call/functions.nf similarity index 100% rename from software/lofreq/call/functions.nf rename to modules/lofreq/call/functions.nf diff --git a/software/lofreq/call/main.nf b/modules/lofreq/call/main.nf similarity index 100% rename from software/lofreq/call/main.nf rename to modules/lofreq/call/main.nf diff --git a/software/lofreq/call/meta.yml b/modules/lofreq/call/meta.yml similarity index 100% rename from software/lofreq/call/meta.yml rename to modules/lofreq/call/meta.yml diff --git a/software/lofreq/callparallel/functions.nf b/modules/lofreq/callparallel/functions.nf similarity index 100% rename from software/lofreq/callparallel/functions.nf rename to modules/lofreq/callparallel/functions.nf diff --git a/software/lofreq/callparallel/main.nf b/modules/lofreq/callparallel/main.nf similarity index 100% rename from software/lofreq/callparallel/main.nf rename to modules/lofreq/callparallel/main.nf diff --git a/software/lofreq/callparallel/meta.yml b/modules/lofreq/callparallel/meta.yml similarity index 100% rename from software/lofreq/callparallel/meta.yml rename to modules/lofreq/callparallel/meta.yml diff --git a/software/lofreq/filter/functions.nf b/modules/lofreq/filter/functions.nf similarity index 100% rename from software/lofreq/filter/functions.nf rename to modules/lofreq/filter/functions.nf diff --git a/software/lofreq/filter/main.nf b/modules/lofreq/filter/main.nf similarity index 100% rename from software/lofreq/filter/main.nf rename to modules/lofreq/filter/main.nf diff --git a/software/lofreq/filter/meta.yml b/modules/lofreq/filter/meta.yml similarity index 100% rename from software/lofreq/filter/meta.yml rename to modules/lofreq/filter/meta.yml diff --git a/software/lofreq/indelqual/functions.nf b/modules/lofreq/indelqual/functions.nf similarity index 100% rename from software/lofreq/indelqual/functions.nf rename to modules/lofreq/indelqual/functions.nf diff --git a/software/lofreq/indelqual/main.nf b/modules/lofreq/indelqual/main.nf similarity index 100% rename from software/lofreq/indelqual/main.nf rename to modules/lofreq/indelqual/main.nf diff --git a/software/lofreq/indelqual/meta.yml b/modules/lofreq/indelqual/meta.yml similarity index 100% rename from software/lofreq/indelqual/meta.yml rename to modules/lofreq/indelqual/meta.yml diff --git a/software/macs2/callpeak/functions.nf b/modules/macs2/callpeak/functions.nf similarity index 100% rename from software/macs2/callpeak/functions.nf rename to modules/macs2/callpeak/functions.nf diff --git a/software/macs2/callpeak/main.nf b/modules/macs2/callpeak/main.nf similarity index 100% rename from software/macs2/callpeak/main.nf rename to modules/macs2/callpeak/main.nf diff --git a/software/mash/sketch/functions.nf b/modules/mash/sketch/functions.nf similarity index 100% rename from software/mash/sketch/functions.nf rename to modules/mash/sketch/functions.nf diff --git a/software/mash/sketch/main.nf b/modules/mash/sketch/main.nf similarity index 100% rename from software/mash/sketch/main.nf rename to modules/mash/sketch/main.nf diff --git a/software/mash/sketch/meta.yml b/modules/mash/sketch/meta.yml similarity index 100% rename from software/mash/sketch/meta.yml rename to modules/mash/sketch/meta.yml diff --git a/software/metaphlan3/functions.nf b/modules/metaphlan3/functions.nf similarity index 100% rename from software/metaphlan3/functions.nf rename to modules/metaphlan3/functions.nf diff --git a/software/metaphlan3/main.nf b/modules/metaphlan3/main.nf similarity index 100% rename from software/metaphlan3/main.nf rename to modules/metaphlan3/main.nf diff --git a/software/metaphlan3/meta.yml b/modules/metaphlan3/meta.yml similarity index 100% rename from software/metaphlan3/meta.yml rename to modules/metaphlan3/meta.yml diff --git a/software/methyldackel/extract/functions.nf b/modules/methyldackel/extract/functions.nf similarity index 100% rename from software/methyldackel/extract/functions.nf rename to modules/methyldackel/extract/functions.nf diff --git a/software/methyldackel/extract/main.nf b/modules/methyldackel/extract/main.nf similarity index 100% rename from software/methyldackel/extract/main.nf rename to modules/methyldackel/extract/main.nf diff --git a/software/methyldackel/extract/meta.yml b/modules/methyldackel/extract/meta.yml similarity index 100% rename from software/methyldackel/extract/meta.yml rename to modules/methyldackel/extract/meta.yml diff --git a/software/methyldackel/mbias/functions.nf b/modules/methyldackel/mbias/functions.nf similarity index 100% rename from software/methyldackel/mbias/functions.nf rename to modules/methyldackel/mbias/functions.nf diff --git a/software/methyldackel/mbias/main.nf b/modules/methyldackel/mbias/main.nf similarity index 100% rename from software/methyldackel/mbias/main.nf rename to modules/methyldackel/mbias/main.nf diff --git a/software/methyldackel/mbias/meta.yml b/modules/methyldackel/mbias/meta.yml similarity index 100% rename from software/methyldackel/mbias/meta.yml rename to modules/methyldackel/mbias/meta.yml diff --git a/software/minia/functions.nf b/modules/minia/functions.nf similarity index 100% rename from software/minia/functions.nf rename to modules/minia/functions.nf diff --git a/software/minia/main.nf b/modules/minia/main.nf similarity index 100% rename from software/minia/main.nf rename to modules/minia/main.nf diff --git a/software/minia/meta.yml b/modules/minia/meta.yml similarity index 100% rename from software/minia/meta.yml rename to modules/minia/meta.yml diff --git a/software/minimap2/align/functions.nf b/modules/minimap2/align/functions.nf similarity index 100% rename from software/minimap2/align/functions.nf rename to modules/minimap2/align/functions.nf diff --git a/software/minimap2/align/main.nf b/modules/minimap2/align/main.nf similarity index 100% rename from software/minimap2/align/main.nf rename to modules/minimap2/align/main.nf diff --git a/software/minimap2/align/meta.yml b/modules/minimap2/align/meta.yml similarity index 100% rename from software/minimap2/align/meta.yml rename to modules/minimap2/align/meta.yml diff --git a/software/minimap2/index/functions.nf b/modules/minimap2/index/functions.nf similarity index 100% rename from software/minimap2/index/functions.nf rename to modules/minimap2/index/functions.nf diff --git a/software/minimap2/index/main.nf b/modules/minimap2/index/main.nf similarity index 100% rename from software/minimap2/index/main.nf rename to modules/minimap2/index/main.nf diff --git a/software/minimap2/index/meta.yml b/modules/minimap2/index/meta.yml similarity index 100% rename from software/minimap2/index/meta.yml rename to modules/minimap2/index/meta.yml diff --git a/software/mosdepth/functions.nf b/modules/mosdepth/functions.nf similarity index 100% rename from software/mosdepth/functions.nf rename to modules/mosdepth/functions.nf diff --git a/software/mosdepth/main.nf b/modules/mosdepth/main.nf similarity index 100% rename from software/mosdepth/main.nf rename to modules/mosdepth/main.nf diff --git a/software/mosdepth/meta.yml b/modules/mosdepth/meta.yml similarity index 100% rename from software/mosdepth/meta.yml rename to modules/mosdepth/meta.yml diff --git a/software/msisensor/msi/functions.nf b/modules/msisensor/msi/functions.nf similarity index 100% rename from software/msisensor/msi/functions.nf rename to modules/msisensor/msi/functions.nf diff --git a/software/msisensor/msi/main.nf b/modules/msisensor/msi/main.nf similarity index 100% rename from software/msisensor/msi/main.nf rename to modules/msisensor/msi/main.nf diff --git a/software/msisensor/msi/meta.yml b/modules/msisensor/msi/meta.yml similarity index 100% rename from software/msisensor/msi/meta.yml rename to modules/msisensor/msi/meta.yml diff --git a/software/msisensor/scan/functions.nf b/modules/msisensor/scan/functions.nf similarity index 100% rename from software/msisensor/scan/functions.nf rename to modules/msisensor/scan/functions.nf diff --git a/software/msisensor/scan/main.nf b/modules/msisensor/scan/main.nf similarity index 100% rename from software/msisensor/scan/main.nf rename to modules/msisensor/scan/main.nf diff --git a/software/msisensor/scan/meta.yml b/modules/msisensor/scan/meta.yml similarity index 100% rename from software/msisensor/scan/meta.yml rename to modules/msisensor/scan/meta.yml diff --git a/software/multiqc/functions.nf b/modules/multiqc/functions.nf similarity index 100% rename from software/multiqc/functions.nf rename to modules/multiqc/functions.nf diff --git a/software/multiqc/main.nf b/modules/multiqc/main.nf similarity index 100% rename from software/multiqc/main.nf rename to modules/multiqc/main.nf diff --git a/software/multiqc/meta.yml b/modules/multiqc/meta.yml similarity index 100% rename from software/multiqc/meta.yml rename to modules/multiqc/meta.yml diff --git a/software/muscle/functions.nf b/modules/muscle/functions.nf similarity index 100% rename from software/muscle/functions.nf rename to modules/muscle/functions.nf diff --git a/software/muscle/main.nf b/modules/muscle/main.nf similarity index 100% rename from software/muscle/main.nf rename to modules/muscle/main.nf diff --git a/software/muscle/meta.yml b/modules/muscle/meta.yml similarity index 100% rename from software/muscle/meta.yml rename to modules/muscle/meta.yml diff --git a/software/nanolyse/functions.nf b/modules/nanolyse/functions.nf similarity index 100% rename from software/nanolyse/functions.nf rename to modules/nanolyse/functions.nf diff --git a/software/nanolyse/main.nf b/modules/nanolyse/main.nf similarity index 100% rename from software/nanolyse/main.nf rename to modules/nanolyse/main.nf diff --git a/software/nanolyse/meta.yml b/modules/nanolyse/meta.yml similarity index 100% rename from software/nanolyse/meta.yml rename to modules/nanolyse/meta.yml diff --git a/software/nanoplot/functions.nf b/modules/nanoplot/functions.nf similarity index 100% rename from software/nanoplot/functions.nf rename to modules/nanoplot/functions.nf diff --git a/software/nanoplot/main.nf b/modules/nanoplot/main.nf similarity index 100% rename from software/nanoplot/main.nf rename to modules/nanoplot/main.nf diff --git a/software/nanoplot/meta.yml b/modules/nanoplot/meta.yml similarity index 100% rename from software/nanoplot/meta.yml rename to modules/nanoplot/meta.yml diff --git a/software/nextclade/functions.nf b/modules/nextclade/functions.nf similarity index 100% rename from software/nextclade/functions.nf rename to modules/nextclade/functions.nf diff --git a/software/nextclade/main.nf b/modules/nextclade/main.nf similarity index 100% rename from software/nextclade/main.nf rename to modules/nextclade/main.nf diff --git a/software/nextclade/meta.yml b/modules/nextclade/meta.yml similarity index 100% rename from software/nextclade/meta.yml rename to modules/nextclade/meta.yml diff --git a/software/optitype/functions.nf b/modules/optitype/functions.nf similarity index 100% rename from software/optitype/functions.nf rename to modules/optitype/functions.nf diff --git a/software/optitype/main.nf b/modules/optitype/main.nf similarity index 100% rename from software/optitype/main.nf rename to modules/optitype/main.nf diff --git a/software/optitype/meta.yml b/modules/optitype/meta.yml similarity index 100% rename from software/optitype/meta.yml rename to modules/optitype/meta.yml diff --git a/software/pairix/functions.nf b/modules/pairix/functions.nf similarity index 100% rename from software/pairix/functions.nf rename to modules/pairix/functions.nf diff --git a/software/pairix/main.nf b/modules/pairix/main.nf similarity index 100% rename from software/pairix/main.nf rename to modules/pairix/main.nf diff --git a/software/pairix/meta.yml b/modules/pairix/meta.yml similarity index 100% rename from software/pairix/meta.yml rename to modules/pairix/meta.yml diff --git a/software/pairtools/dedup/functions.nf b/modules/pairtools/dedup/functions.nf similarity index 100% rename from software/pairtools/dedup/functions.nf rename to modules/pairtools/dedup/functions.nf diff --git a/software/pairtools/dedup/main.nf b/modules/pairtools/dedup/main.nf similarity index 100% rename from software/pairtools/dedup/main.nf rename to modules/pairtools/dedup/main.nf diff --git a/software/pairtools/dedup/meta.yml b/modules/pairtools/dedup/meta.yml similarity index 100% rename from software/pairtools/dedup/meta.yml rename to modules/pairtools/dedup/meta.yml diff --git a/software/pairtools/flip/functions.nf b/modules/pairtools/flip/functions.nf similarity index 100% rename from software/pairtools/flip/functions.nf rename to modules/pairtools/flip/functions.nf diff --git a/software/pairtools/flip/main.nf b/modules/pairtools/flip/main.nf similarity index 100% rename from software/pairtools/flip/main.nf rename to modules/pairtools/flip/main.nf diff --git a/software/pairtools/flip/meta.yml b/modules/pairtools/flip/meta.yml similarity index 100% rename from software/pairtools/flip/meta.yml rename to modules/pairtools/flip/meta.yml diff --git a/software/pairtools/parse/functions.nf b/modules/pairtools/parse/functions.nf similarity index 100% rename from software/pairtools/parse/functions.nf rename to modules/pairtools/parse/functions.nf diff --git a/software/pairtools/parse/main.nf b/modules/pairtools/parse/main.nf similarity index 100% rename from software/pairtools/parse/main.nf rename to modules/pairtools/parse/main.nf diff --git a/software/pairtools/parse/meta.yml b/modules/pairtools/parse/meta.yml similarity index 100% rename from software/pairtools/parse/meta.yml rename to modules/pairtools/parse/meta.yml diff --git a/software/pairtools/restrict/functions.nf b/modules/pairtools/restrict/functions.nf similarity index 100% rename from software/pairtools/restrict/functions.nf rename to modules/pairtools/restrict/functions.nf diff --git a/software/pairtools/restrict/main.nf b/modules/pairtools/restrict/main.nf similarity index 100% rename from software/pairtools/restrict/main.nf rename to modules/pairtools/restrict/main.nf diff --git a/software/pairtools/restrict/meta.yml b/modules/pairtools/restrict/meta.yml similarity index 100% rename from software/pairtools/restrict/meta.yml rename to modules/pairtools/restrict/meta.yml diff --git a/software/pairtools/select/functions.nf b/modules/pairtools/select/functions.nf similarity index 100% rename from software/pairtools/select/functions.nf rename to modules/pairtools/select/functions.nf diff --git a/software/pairtools/select/main.nf b/modules/pairtools/select/main.nf similarity index 100% rename from software/pairtools/select/main.nf rename to modules/pairtools/select/main.nf diff --git a/software/pairtools/select/meta.yml b/modules/pairtools/select/meta.yml similarity index 100% rename from software/pairtools/select/meta.yml rename to modules/pairtools/select/meta.yml diff --git a/software/pairtools/sort/functions.nf b/modules/pairtools/sort/functions.nf similarity index 100% rename from software/pairtools/sort/functions.nf rename to modules/pairtools/sort/functions.nf diff --git a/software/pairtools/sort/main.nf b/modules/pairtools/sort/main.nf similarity index 100% rename from software/pairtools/sort/main.nf rename to modules/pairtools/sort/main.nf diff --git a/software/pairtools/sort/meta.yml b/modules/pairtools/sort/meta.yml similarity index 100% rename from software/pairtools/sort/meta.yml rename to modules/pairtools/sort/meta.yml diff --git a/software/pangolin/functions.nf b/modules/pangolin/functions.nf similarity index 100% rename from software/pangolin/functions.nf rename to modules/pangolin/functions.nf diff --git a/software/pangolin/main.nf b/modules/pangolin/main.nf similarity index 100% rename from software/pangolin/main.nf rename to modules/pangolin/main.nf diff --git a/software/pangolin/meta.yml b/modules/pangolin/meta.yml similarity index 100% rename from software/pangolin/meta.yml rename to modules/pangolin/meta.yml diff --git a/software/phantompeakqualtools/functions.nf b/modules/phantompeakqualtools/functions.nf similarity index 100% rename from software/phantompeakqualtools/functions.nf rename to modules/phantompeakqualtools/functions.nf diff --git a/software/phantompeakqualtools/main.nf b/modules/phantompeakqualtools/main.nf similarity index 100% rename from software/phantompeakqualtools/main.nf rename to modules/phantompeakqualtools/main.nf diff --git a/software/picard/collectmultiplemetrics/functions.nf b/modules/picard/collectmultiplemetrics/functions.nf similarity index 100% rename from software/picard/collectmultiplemetrics/functions.nf rename to modules/picard/collectmultiplemetrics/functions.nf diff --git a/software/picard/collectmultiplemetrics/main.nf b/modules/picard/collectmultiplemetrics/main.nf similarity index 100% rename from software/picard/collectmultiplemetrics/main.nf rename to modules/picard/collectmultiplemetrics/main.nf diff --git a/software/picard/collectmultiplemetrics/meta.yml b/modules/picard/collectmultiplemetrics/meta.yml similarity index 100% rename from software/picard/collectmultiplemetrics/meta.yml rename to modules/picard/collectmultiplemetrics/meta.yml diff --git a/software/picard/collectwgsmetrics/functions.nf b/modules/picard/collectwgsmetrics/functions.nf similarity index 100% rename from software/picard/collectwgsmetrics/functions.nf rename to modules/picard/collectwgsmetrics/functions.nf diff --git a/software/picard/collectwgsmetrics/main.nf b/modules/picard/collectwgsmetrics/main.nf similarity index 100% rename from software/picard/collectwgsmetrics/main.nf rename to modules/picard/collectwgsmetrics/main.nf diff --git a/software/picard/collectwgsmetrics/meta.yml b/modules/picard/collectwgsmetrics/meta.yml similarity index 100% rename from software/picard/collectwgsmetrics/meta.yml rename to modules/picard/collectwgsmetrics/meta.yml diff --git a/software/picard/markduplicates/functions.nf b/modules/picard/markduplicates/functions.nf similarity index 100% rename from software/picard/markduplicates/functions.nf rename to modules/picard/markduplicates/functions.nf diff --git a/software/picard/markduplicates/main.nf b/modules/picard/markduplicates/main.nf similarity index 100% rename from software/picard/markduplicates/main.nf rename to modules/picard/markduplicates/main.nf diff --git a/software/picard/markduplicates/meta.yml b/modules/picard/markduplicates/meta.yml similarity index 100% rename from software/picard/markduplicates/meta.yml rename to modules/picard/markduplicates/meta.yml diff --git a/software/picard/mergesamfiles/functions.nf b/modules/picard/mergesamfiles/functions.nf similarity index 100% rename from software/picard/mergesamfiles/functions.nf rename to modules/picard/mergesamfiles/functions.nf diff --git a/software/picard/mergesamfiles/main.nf b/modules/picard/mergesamfiles/main.nf similarity index 100% rename from software/picard/mergesamfiles/main.nf rename to modules/picard/mergesamfiles/main.nf diff --git a/software/picard/mergesamfiles/meta.yml b/modules/picard/mergesamfiles/meta.yml similarity index 100% rename from software/picard/mergesamfiles/meta.yml rename to modules/picard/mergesamfiles/meta.yml diff --git a/software/plasmidid/functions.nf b/modules/plasmidid/functions.nf similarity index 100% rename from software/plasmidid/functions.nf rename to modules/plasmidid/functions.nf diff --git a/software/plasmidid/main.nf b/modules/plasmidid/main.nf similarity index 100% rename from software/plasmidid/main.nf rename to modules/plasmidid/main.nf diff --git a/software/plasmidid/meta.yml b/modules/plasmidid/meta.yml similarity index 100% rename from software/plasmidid/meta.yml rename to modules/plasmidid/meta.yml diff --git a/software/preseq/lcextrap/functions.nf b/modules/preseq/lcextrap/functions.nf similarity index 100% rename from software/preseq/lcextrap/functions.nf rename to modules/preseq/lcextrap/functions.nf diff --git a/software/preseq/lcextrap/main.nf b/modules/preseq/lcextrap/main.nf similarity index 100% rename from software/preseq/lcextrap/main.nf rename to modules/preseq/lcextrap/main.nf diff --git a/software/preseq/lcextrap/meta.yml b/modules/preseq/lcextrap/meta.yml similarity index 100% rename from software/preseq/lcextrap/meta.yml rename to modules/preseq/lcextrap/meta.yml diff --git a/software/prodigal/functions.nf b/modules/prodigal/functions.nf similarity index 100% rename from software/prodigal/functions.nf rename to modules/prodigal/functions.nf diff --git a/software/prodigal/main.nf b/modules/prodigal/main.nf similarity index 100% rename from software/prodigal/main.nf rename to modules/prodigal/main.nf diff --git a/software/prodigal/meta.yml b/modules/prodigal/meta.yml similarity index 100% rename from software/prodigal/meta.yml rename to modules/prodigal/meta.yml diff --git a/software/prokka/functions.nf b/modules/prokka/functions.nf similarity index 100% rename from software/prokka/functions.nf rename to modules/prokka/functions.nf diff --git a/software/prokka/main.nf b/modules/prokka/main.nf similarity index 100% rename from software/prokka/main.nf rename to modules/prokka/main.nf diff --git a/software/prokka/meta.yml b/modules/prokka/meta.yml similarity index 100% rename from software/prokka/meta.yml rename to modules/prokka/meta.yml diff --git a/software/pycoqc/functions.nf b/modules/pycoqc/functions.nf similarity index 100% rename from software/pycoqc/functions.nf rename to modules/pycoqc/functions.nf diff --git a/software/pycoqc/main.nf b/modules/pycoqc/main.nf similarity index 100% rename from software/pycoqc/main.nf rename to modules/pycoqc/main.nf diff --git a/software/pycoqc/meta.yml b/modules/pycoqc/meta.yml similarity index 100% rename from software/pycoqc/meta.yml rename to modules/pycoqc/meta.yml diff --git a/software/qcat/functions.nf b/modules/qcat/functions.nf similarity index 100% rename from software/qcat/functions.nf rename to modules/qcat/functions.nf diff --git a/software/qcat/main.nf b/modules/qcat/main.nf similarity index 100% rename from software/qcat/main.nf rename to modules/qcat/main.nf diff --git a/software/qcat/meta.yml b/modules/qcat/meta.yml similarity index 100% rename from software/qcat/meta.yml rename to modules/qcat/meta.yml diff --git a/software/qualimap/bamqc/functions.nf b/modules/qualimap/bamqc/functions.nf similarity index 100% rename from software/qualimap/bamqc/functions.nf rename to modules/qualimap/bamqc/functions.nf diff --git a/software/qualimap/bamqc/main.nf b/modules/qualimap/bamqc/main.nf similarity index 100% rename from software/qualimap/bamqc/main.nf rename to modules/qualimap/bamqc/main.nf diff --git a/software/qualimap/bamqc/meta.yml b/modules/qualimap/bamqc/meta.yml similarity index 100% rename from software/qualimap/bamqc/meta.yml rename to modules/qualimap/bamqc/meta.yml diff --git a/software/qualimap/rnaseq/functions.nf b/modules/qualimap/rnaseq/functions.nf similarity index 100% rename from software/qualimap/rnaseq/functions.nf rename to modules/qualimap/rnaseq/functions.nf diff --git a/software/qualimap/rnaseq/main.nf b/modules/qualimap/rnaseq/main.nf similarity index 100% rename from software/qualimap/rnaseq/main.nf rename to modules/qualimap/rnaseq/main.nf diff --git a/software/quast/functions.nf b/modules/quast/functions.nf similarity index 100% rename from software/quast/functions.nf rename to modules/quast/functions.nf diff --git a/software/quast/main.nf b/modules/quast/main.nf similarity index 100% rename from software/quast/main.nf rename to modules/quast/main.nf diff --git a/software/quast/meta.yml b/modules/quast/meta.yml similarity index 100% rename from software/quast/meta.yml rename to modules/quast/meta.yml diff --git a/software/rapidnj/functions.nf b/modules/rapidnj/functions.nf similarity index 100% rename from software/rapidnj/functions.nf rename to modules/rapidnj/functions.nf diff --git a/software/rapidnj/main.nf b/modules/rapidnj/main.nf similarity index 100% rename from software/rapidnj/main.nf rename to modules/rapidnj/main.nf diff --git a/software/rapidnj/meta.yml b/modules/rapidnj/meta.yml similarity index 100% rename from software/rapidnj/meta.yml rename to modules/rapidnj/meta.yml diff --git a/software/rasusa/functions.nf b/modules/rasusa/functions.nf similarity index 100% rename from software/rasusa/functions.nf rename to modules/rasusa/functions.nf diff --git a/software/rasusa/main.nf b/modules/rasusa/main.nf similarity index 100% rename from software/rasusa/main.nf rename to modules/rasusa/main.nf diff --git a/software/rasusa/meta.yml b/modules/rasusa/meta.yml similarity index 100% rename from software/rasusa/meta.yml rename to modules/rasusa/meta.yml diff --git a/software/raxmlng/functions.nf b/modules/raxmlng/functions.nf similarity index 100% rename from software/raxmlng/functions.nf rename to modules/raxmlng/functions.nf diff --git a/software/raxmlng/main.nf b/modules/raxmlng/main.nf similarity index 100% rename from software/raxmlng/main.nf rename to modules/raxmlng/main.nf diff --git a/software/raxmlng/meta.yml b/modules/raxmlng/meta.yml similarity index 100% rename from software/raxmlng/meta.yml rename to modules/raxmlng/meta.yml diff --git a/software/rsem/calculateexpression/functions.nf b/modules/rsem/calculateexpression/functions.nf similarity index 100% rename from software/rsem/calculateexpression/functions.nf rename to modules/rsem/calculateexpression/functions.nf diff --git a/software/rsem/calculateexpression/main.nf b/modules/rsem/calculateexpression/main.nf similarity index 100% rename from software/rsem/calculateexpression/main.nf rename to modules/rsem/calculateexpression/main.nf diff --git a/software/rsem/calculateexpression/meta.yml b/modules/rsem/calculateexpression/meta.yml similarity index 100% rename from software/rsem/calculateexpression/meta.yml rename to modules/rsem/calculateexpression/meta.yml diff --git a/software/rsem/preparereference/functions.nf b/modules/rsem/preparereference/functions.nf similarity index 100% rename from software/rsem/preparereference/functions.nf rename to modules/rsem/preparereference/functions.nf diff --git a/software/rsem/preparereference/main.nf b/modules/rsem/preparereference/main.nf similarity index 100% rename from software/rsem/preparereference/main.nf rename to modules/rsem/preparereference/main.nf diff --git a/software/rsem/preparereference/meta.yml b/modules/rsem/preparereference/meta.yml similarity index 100% rename from software/rsem/preparereference/meta.yml rename to modules/rsem/preparereference/meta.yml diff --git a/software/rseqc/bamstat/functions.nf b/modules/rseqc/bamstat/functions.nf similarity index 100% rename from software/rseqc/bamstat/functions.nf rename to modules/rseqc/bamstat/functions.nf diff --git a/software/rseqc/bamstat/main.nf b/modules/rseqc/bamstat/main.nf similarity index 100% rename from software/rseqc/bamstat/main.nf rename to modules/rseqc/bamstat/main.nf diff --git a/software/rseqc/bamstat/meta.yml b/modules/rseqc/bamstat/meta.yml similarity index 100% rename from software/rseqc/bamstat/meta.yml rename to modules/rseqc/bamstat/meta.yml diff --git a/software/rseqc/inferexperiment/functions.nf b/modules/rseqc/inferexperiment/functions.nf similarity index 100% rename from software/rseqc/inferexperiment/functions.nf rename to modules/rseqc/inferexperiment/functions.nf diff --git a/software/rseqc/inferexperiment/main.nf b/modules/rseqc/inferexperiment/main.nf similarity index 100% rename from software/rseqc/inferexperiment/main.nf rename to modules/rseqc/inferexperiment/main.nf diff --git a/software/rseqc/inferexperiment/meta.yml b/modules/rseqc/inferexperiment/meta.yml similarity index 100% rename from software/rseqc/inferexperiment/meta.yml rename to modules/rseqc/inferexperiment/meta.yml diff --git a/software/rseqc/innerdistance/functions.nf b/modules/rseqc/innerdistance/functions.nf similarity index 100% rename from software/rseqc/innerdistance/functions.nf rename to modules/rseqc/innerdistance/functions.nf diff --git a/software/rseqc/innerdistance/main.nf b/modules/rseqc/innerdistance/main.nf similarity index 100% rename from software/rseqc/innerdistance/main.nf rename to modules/rseqc/innerdistance/main.nf diff --git a/software/rseqc/innerdistance/meta.yml b/modules/rseqc/innerdistance/meta.yml similarity index 100% rename from software/rseqc/innerdistance/meta.yml rename to modules/rseqc/innerdistance/meta.yml diff --git a/software/rseqc/junctionannotation/functions.nf b/modules/rseqc/junctionannotation/functions.nf similarity index 100% rename from software/rseqc/junctionannotation/functions.nf rename to modules/rseqc/junctionannotation/functions.nf diff --git a/software/rseqc/junctionannotation/main.nf b/modules/rseqc/junctionannotation/main.nf similarity index 100% rename from software/rseqc/junctionannotation/main.nf rename to modules/rseqc/junctionannotation/main.nf diff --git a/software/rseqc/junctionannotation/meta.yml b/modules/rseqc/junctionannotation/meta.yml similarity index 100% rename from software/rseqc/junctionannotation/meta.yml rename to modules/rseqc/junctionannotation/meta.yml diff --git a/software/rseqc/junctionsaturation/functions.nf b/modules/rseqc/junctionsaturation/functions.nf similarity index 100% rename from software/rseqc/junctionsaturation/functions.nf rename to modules/rseqc/junctionsaturation/functions.nf diff --git a/software/rseqc/junctionsaturation/main.nf b/modules/rseqc/junctionsaturation/main.nf similarity index 100% rename from software/rseqc/junctionsaturation/main.nf rename to modules/rseqc/junctionsaturation/main.nf diff --git a/software/rseqc/junctionsaturation/meta.yml b/modules/rseqc/junctionsaturation/meta.yml similarity index 100% rename from software/rseqc/junctionsaturation/meta.yml rename to modules/rseqc/junctionsaturation/meta.yml diff --git a/software/rseqc/readdistribution/functions.nf b/modules/rseqc/readdistribution/functions.nf similarity index 100% rename from software/rseqc/readdistribution/functions.nf rename to modules/rseqc/readdistribution/functions.nf diff --git a/software/rseqc/readdistribution/main.nf b/modules/rseqc/readdistribution/main.nf similarity index 100% rename from software/rseqc/readdistribution/main.nf rename to modules/rseqc/readdistribution/main.nf diff --git a/software/rseqc/readdistribution/meta.yml b/modules/rseqc/readdistribution/meta.yml similarity index 100% rename from software/rseqc/readdistribution/meta.yml rename to modules/rseqc/readdistribution/meta.yml diff --git a/software/rseqc/readduplication/functions.nf b/modules/rseqc/readduplication/functions.nf similarity index 100% rename from software/rseqc/readduplication/functions.nf rename to modules/rseqc/readduplication/functions.nf diff --git a/software/rseqc/readduplication/main.nf b/modules/rseqc/readduplication/main.nf similarity index 100% rename from software/rseqc/readduplication/main.nf rename to modules/rseqc/readduplication/main.nf diff --git a/software/rseqc/readduplication/meta.yml b/modules/rseqc/readduplication/meta.yml similarity index 100% rename from software/rseqc/readduplication/meta.yml rename to modules/rseqc/readduplication/meta.yml diff --git a/software/salmon/index/functions.nf b/modules/salmon/index/functions.nf similarity index 100% rename from software/salmon/index/functions.nf rename to modules/salmon/index/functions.nf diff --git a/software/salmon/index/main.nf b/modules/salmon/index/main.nf similarity index 100% rename from software/salmon/index/main.nf rename to modules/salmon/index/main.nf diff --git a/software/salmon/index/meta.yml b/modules/salmon/index/meta.yml similarity index 100% rename from software/salmon/index/meta.yml rename to modules/salmon/index/meta.yml diff --git a/software/salmon/quant/functions.nf b/modules/salmon/quant/functions.nf similarity index 100% rename from software/salmon/quant/functions.nf rename to modules/salmon/quant/functions.nf diff --git a/software/salmon/quant/main.nf b/modules/salmon/quant/main.nf similarity index 100% rename from software/salmon/quant/main.nf rename to modules/salmon/quant/main.nf diff --git a/software/salmon/quant/meta.yml b/modules/salmon/quant/meta.yml similarity index 100% rename from software/salmon/quant/meta.yml rename to modules/salmon/quant/meta.yml diff --git a/software/samtools/faidx/functions.nf b/modules/samtools/faidx/functions.nf similarity index 100% rename from software/samtools/faidx/functions.nf rename to modules/samtools/faidx/functions.nf diff --git a/software/samtools/faidx/main.nf b/modules/samtools/faidx/main.nf similarity index 100% rename from software/samtools/faidx/main.nf rename to modules/samtools/faidx/main.nf diff --git a/software/samtools/faidx/meta.yml b/modules/samtools/faidx/meta.yml similarity index 100% rename from software/samtools/faidx/meta.yml rename to modules/samtools/faidx/meta.yml diff --git a/software/samtools/fastq/functions.nf b/modules/samtools/fastq/functions.nf similarity index 100% rename from software/samtools/fastq/functions.nf rename to modules/samtools/fastq/functions.nf diff --git a/software/samtools/fastq/main.nf b/modules/samtools/fastq/main.nf similarity index 100% rename from software/samtools/fastq/main.nf rename to modules/samtools/fastq/main.nf diff --git a/software/samtools/fastq/meta.yml b/modules/samtools/fastq/meta.yml similarity index 100% rename from software/samtools/fastq/meta.yml rename to modules/samtools/fastq/meta.yml diff --git a/software/samtools/flagstat/functions.nf b/modules/samtools/flagstat/functions.nf similarity index 100% rename from software/samtools/flagstat/functions.nf rename to modules/samtools/flagstat/functions.nf diff --git a/software/samtools/flagstat/main.nf b/modules/samtools/flagstat/main.nf similarity index 100% rename from software/samtools/flagstat/main.nf rename to modules/samtools/flagstat/main.nf diff --git a/software/samtools/flagstat/meta.yml b/modules/samtools/flagstat/meta.yml similarity index 100% rename from software/samtools/flagstat/meta.yml rename to modules/samtools/flagstat/meta.yml diff --git a/software/samtools/idxstats/functions.nf b/modules/samtools/idxstats/functions.nf similarity index 100% rename from software/samtools/idxstats/functions.nf rename to modules/samtools/idxstats/functions.nf diff --git a/software/samtools/idxstats/main.nf b/modules/samtools/idxstats/main.nf similarity index 100% rename from software/samtools/idxstats/main.nf rename to modules/samtools/idxstats/main.nf diff --git a/software/samtools/idxstats/meta.yml b/modules/samtools/idxstats/meta.yml similarity index 100% rename from software/samtools/idxstats/meta.yml rename to modules/samtools/idxstats/meta.yml diff --git a/software/samtools/index/functions.nf b/modules/samtools/index/functions.nf similarity index 100% rename from software/samtools/index/functions.nf rename to modules/samtools/index/functions.nf diff --git a/software/samtools/index/main.nf b/modules/samtools/index/main.nf similarity index 100% rename from software/samtools/index/main.nf rename to modules/samtools/index/main.nf diff --git a/software/samtools/index/meta.yml b/modules/samtools/index/meta.yml similarity index 100% rename from software/samtools/index/meta.yml rename to modules/samtools/index/meta.yml diff --git a/software/samtools/merge/functions.nf b/modules/samtools/merge/functions.nf similarity index 100% rename from software/samtools/merge/functions.nf rename to modules/samtools/merge/functions.nf diff --git a/software/samtools/merge/main.nf b/modules/samtools/merge/main.nf similarity index 100% rename from software/samtools/merge/main.nf rename to modules/samtools/merge/main.nf diff --git a/software/samtools/merge/meta.yml b/modules/samtools/merge/meta.yml similarity index 100% rename from software/samtools/merge/meta.yml rename to modules/samtools/merge/meta.yml diff --git a/software/samtools/mpileup/functions.nf b/modules/samtools/mpileup/functions.nf similarity index 100% rename from software/samtools/mpileup/functions.nf rename to modules/samtools/mpileup/functions.nf diff --git a/software/samtools/mpileup/main.nf b/modules/samtools/mpileup/main.nf similarity index 100% rename from software/samtools/mpileup/main.nf rename to modules/samtools/mpileup/main.nf diff --git a/software/samtools/mpileup/meta.yml b/modules/samtools/mpileup/meta.yml similarity index 100% rename from software/samtools/mpileup/meta.yml rename to modules/samtools/mpileup/meta.yml diff --git a/software/samtools/sort/functions.nf b/modules/samtools/sort/functions.nf similarity index 100% rename from software/samtools/sort/functions.nf rename to modules/samtools/sort/functions.nf diff --git a/software/samtools/sort/main.nf b/modules/samtools/sort/main.nf similarity index 100% rename from software/samtools/sort/main.nf rename to modules/samtools/sort/main.nf diff --git a/software/samtools/sort/meta.yml b/modules/samtools/sort/meta.yml similarity index 100% rename from software/samtools/sort/meta.yml rename to modules/samtools/sort/meta.yml diff --git a/software/samtools/stats/functions.nf b/modules/samtools/stats/functions.nf similarity index 100% rename from software/samtools/stats/functions.nf rename to modules/samtools/stats/functions.nf diff --git a/software/samtools/stats/main.nf b/modules/samtools/stats/main.nf similarity index 100% rename from software/samtools/stats/main.nf rename to modules/samtools/stats/main.nf diff --git a/software/samtools/stats/meta.yml b/modules/samtools/stats/meta.yml similarity index 100% rename from software/samtools/stats/meta.yml rename to modules/samtools/stats/meta.yml diff --git a/software/samtools/view/functions.nf b/modules/samtools/view/functions.nf similarity index 100% rename from software/samtools/view/functions.nf rename to modules/samtools/view/functions.nf diff --git a/software/samtools/view/main.nf b/modules/samtools/view/main.nf similarity index 100% rename from software/samtools/view/main.nf rename to modules/samtools/view/main.nf diff --git a/software/samtools/view/meta.yml b/modules/samtools/view/meta.yml similarity index 100% rename from software/samtools/view/meta.yml rename to modules/samtools/view/meta.yml diff --git a/software/seacr/callpeak/functions.nf b/modules/seacr/callpeak/functions.nf similarity index 100% rename from software/seacr/callpeak/functions.nf rename to modules/seacr/callpeak/functions.nf diff --git a/software/seacr/callpeak/main.nf b/modules/seacr/callpeak/main.nf similarity index 100% rename from software/seacr/callpeak/main.nf rename to modules/seacr/callpeak/main.nf diff --git a/software/seacr/callpeak/meta.yml b/modules/seacr/callpeak/meta.yml similarity index 100% rename from software/seacr/callpeak/meta.yml rename to modules/seacr/callpeak/meta.yml diff --git a/software/seqkit/split2/functions.nf b/modules/seqkit/split2/functions.nf similarity index 100% rename from software/seqkit/split2/functions.nf rename to modules/seqkit/split2/functions.nf diff --git a/software/seqkit/split2/main.nf b/modules/seqkit/split2/main.nf similarity index 100% rename from software/seqkit/split2/main.nf rename to modules/seqkit/split2/main.nf diff --git a/software/seqkit/split2/meta.yml b/modules/seqkit/split2/meta.yml similarity index 100% rename from software/seqkit/split2/meta.yml rename to modules/seqkit/split2/meta.yml diff --git a/software/seqtk/sample/functions.nf b/modules/seqtk/sample/functions.nf similarity index 100% rename from software/seqtk/sample/functions.nf rename to modules/seqtk/sample/functions.nf diff --git a/software/seqtk/sample/main.nf b/modules/seqtk/sample/main.nf similarity index 100% rename from software/seqtk/sample/main.nf rename to modules/seqtk/sample/main.nf diff --git a/software/seqtk/sample/meta.yml b/modules/seqtk/sample/meta.yml similarity index 100% rename from software/seqtk/sample/meta.yml rename to modules/seqtk/sample/meta.yml diff --git a/software/seqtk/subseq/functions.nf b/modules/seqtk/subseq/functions.nf similarity index 100% rename from software/seqtk/subseq/functions.nf rename to modules/seqtk/subseq/functions.nf diff --git a/software/seqtk/subseq/main.nf b/modules/seqtk/subseq/main.nf similarity index 100% rename from software/seqtk/subseq/main.nf rename to modules/seqtk/subseq/main.nf diff --git a/software/seqtk/subseq/meta.yml b/modules/seqtk/subseq/meta.yml similarity index 100% rename from software/seqtk/subseq/meta.yml rename to modules/seqtk/subseq/meta.yml diff --git a/software/sequenzautils/bam2seqz/functions.nf b/modules/sequenzautils/bam2seqz/functions.nf similarity index 100% rename from software/sequenzautils/bam2seqz/functions.nf rename to modules/sequenzautils/bam2seqz/functions.nf diff --git a/software/sequenzautils/bam2seqz/main.nf b/modules/sequenzautils/bam2seqz/main.nf similarity index 100% rename from software/sequenzautils/bam2seqz/main.nf rename to modules/sequenzautils/bam2seqz/main.nf diff --git a/software/sequenzautils/bam2seqz/meta.yml b/modules/sequenzautils/bam2seqz/meta.yml similarity index 100% rename from software/sequenzautils/bam2seqz/meta.yml rename to modules/sequenzautils/bam2seqz/meta.yml diff --git a/software/sequenzautils/gcwiggle/functions.nf b/modules/sequenzautils/gcwiggle/functions.nf similarity index 100% rename from software/sequenzautils/gcwiggle/functions.nf rename to modules/sequenzautils/gcwiggle/functions.nf diff --git a/software/sequenzautils/gcwiggle/main.nf b/modules/sequenzautils/gcwiggle/main.nf similarity index 100% rename from software/sequenzautils/gcwiggle/main.nf rename to modules/sequenzautils/gcwiggle/main.nf diff --git a/software/sequenzautils/gcwiggle/meta.yml b/modules/sequenzautils/gcwiggle/meta.yml similarity index 100% rename from software/sequenzautils/gcwiggle/meta.yml rename to modules/sequenzautils/gcwiggle/meta.yml diff --git a/software/seqwish/induce/functions.nf b/modules/seqwish/induce/functions.nf similarity index 100% rename from software/seqwish/induce/functions.nf rename to modules/seqwish/induce/functions.nf diff --git a/software/seqwish/induce/main.nf b/modules/seqwish/induce/main.nf similarity index 100% rename from software/seqwish/induce/main.nf rename to modules/seqwish/induce/main.nf diff --git a/software/seqwish/induce/meta.yml b/modules/seqwish/induce/meta.yml similarity index 100% rename from software/seqwish/induce/meta.yml rename to modules/seqwish/induce/meta.yml diff --git a/software/shovill/functions.nf b/modules/shovill/functions.nf similarity index 100% rename from software/shovill/functions.nf rename to modules/shovill/functions.nf diff --git a/software/shovill/main.nf b/modules/shovill/main.nf similarity index 100% rename from software/shovill/main.nf rename to modules/shovill/main.nf diff --git a/software/shovill/meta.yml b/modules/shovill/meta.yml similarity index 100% rename from software/shovill/meta.yml rename to modules/shovill/meta.yml diff --git a/software/snpeff/Dockerfile b/modules/snpeff/Dockerfile similarity index 100% rename from software/snpeff/Dockerfile rename to modules/snpeff/Dockerfile diff --git a/software/snpeff/build.sh b/modules/snpeff/build.sh similarity index 100% rename from software/snpeff/build.sh rename to modules/snpeff/build.sh diff --git a/software/snpeff/environment.yml b/modules/snpeff/environment.yml similarity index 100% rename from software/snpeff/environment.yml rename to modules/snpeff/environment.yml diff --git a/software/snpeff/functions.nf b/modules/snpeff/functions.nf similarity index 100% rename from software/snpeff/functions.nf rename to modules/snpeff/functions.nf diff --git a/software/snpeff/main.nf b/modules/snpeff/main.nf similarity index 100% rename from software/snpeff/main.nf rename to modules/snpeff/main.nf diff --git a/software/snpeff/meta.yml b/modules/snpeff/meta.yml similarity index 100% rename from software/snpeff/meta.yml rename to modules/snpeff/meta.yml diff --git a/software/snpsites/functions.nf b/modules/snpsites/functions.nf similarity index 100% rename from software/snpsites/functions.nf rename to modules/snpsites/functions.nf diff --git a/software/snpsites/main.nf b/modules/snpsites/main.nf similarity index 100% rename from software/snpsites/main.nf rename to modules/snpsites/main.nf diff --git a/software/snpsites/meta.yml b/modules/snpsites/meta.yml similarity index 100% rename from software/snpsites/meta.yml rename to modules/snpsites/meta.yml diff --git a/software/sortmerna/functions.nf b/modules/sortmerna/functions.nf similarity index 100% rename from software/sortmerna/functions.nf rename to modules/sortmerna/functions.nf diff --git a/software/sortmerna/main.nf b/modules/sortmerna/main.nf similarity index 100% rename from software/sortmerna/main.nf rename to modules/sortmerna/main.nf diff --git a/software/spades/functions.nf b/modules/spades/functions.nf similarity index 100% rename from software/spades/functions.nf rename to modules/spades/functions.nf diff --git a/software/spades/main.nf b/modules/spades/main.nf similarity index 100% rename from software/spades/main.nf rename to modules/spades/main.nf diff --git a/software/spades/meta.yml b/modules/spades/meta.yml similarity index 100% rename from software/spades/meta.yml rename to modules/spades/meta.yml diff --git a/software/star/align/functions.nf b/modules/star/align/functions.nf similarity index 100% rename from software/star/align/functions.nf rename to modules/star/align/functions.nf diff --git a/software/star/align/main.nf b/modules/star/align/main.nf similarity index 100% rename from software/star/align/main.nf rename to modules/star/align/main.nf diff --git a/software/star/align/meta.yml b/modules/star/align/meta.yml similarity index 100% rename from software/star/align/meta.yml rename to modules/star/align/meta.yml diff --git a/software/star/genomegenerate/functions.nf b/modules/star/genomegenerate/functions.nf similarity index 100% rename from software/star/genomegenerate/functions.nf rename to modules/star/genomegenerate/functions.nf diff --git a/software/star/genomegenerate/main.nf b/modules/star/genomegenerate/main.nf similarity index 100% rename from software/star/genomegenerate/main.nf rename to modules/star/genomegenerate/main.nf diff --git a/software/star/genomegenerate/meta.yml b/modules/star/genomegenerate/meta.yml similarity index 100% rename from software/star/genomegenerate/meta.yml rename to modules/star/genomegenerate/meta.yml diff --git a/software/strelka/germline/functions.nf b/modules/strelka/germline/functions.nf similarity index 100% rename from software/strelka/germline/functions.nf rename to modules/strelka/germline/functions.nf diff --git a/software/strelka/germline/main.nf b/modules/strelka/germline/main.nf similarity index 100% rename from software/strelka/germline/main.nf rename to modules/strelka/germline/main.nf diff --git a/software/strelka/germline/meta.yml b/modules/strelka/germline/meta.yml similarity index 100% rename from software/strelka/germline/meta.yml rename to modules/strelka/germline/meta.yml diff --git a/software/stringtie/merge/functions.nf b/modules/stringtie/merge/functions.nf similarity index 100% rename from software/stringtie/merge/functions.nf rename to modules/stringtie/merge/functions.nf diff --git a/software/stringtie/merge/main.nf b/modules/stringtie/merge/main.nf similarity index 100% rename from software/stringtie/merge/main.nf rename to modules/stringtie/merge/main.nf diff --git a/software/stringtie/merge/meta.yml b/modules/stringtie/merge/meta.yml similarity index 100% rename from software/stringtie/merge/meta.yml rename to modules/stringtie/merge/meta.yml diff --git a/software/stringtie/stringtie/functions.nf b/modules/stringtie/stringtie/functions.nf similarity index 100% rename from software/stringtie/stringtie/functions.nf rename to modules/stringtie/stringtie/functions.nf diff --git a/software/stringtie/stringtie/main.nf b/modules/stringtie/stringtie/main.nf similarity index 100% rename from software/stringtie/stringtie/main.nf rename to modules/stringtie/stringtie/main.nf diff --git a/software/stringtie/stringtie/meta.yml b/modules/stringtie/stringtie/meta.yml similarity index 100% rename from software/stringtie/stringtie/meta.yml rename to modules/stringtie/stringtie/meta.yml diff --git a/software/subread/featurecounts/functions.nf b/modules/subread/featurecounts/functions.nf similarity index 100% rename from software/subread/featurecounts/functions.nf rename to modules/subread/featurecounts/functions.nf diff --git a/software/subread/featurecounts/main.nf b/modules/subread/featurecounts/main.nf similarity index 100% rename from software/subread/featurecounts/main.nf rename to modules/subread/featurecounts/main.nf diff --git a/software/subread/featurecounts/meta.yml b/modules/subread/featurecounts/meta.yml similarity index 100% rename from software/subread/featurecounts/meta.yml rename to modules/subread/featurecounts/meta.yml diff --git a/software/tabix/bgzip/functions.nf b/modules/tabix/bgzip/functions.nf similarity index 100% rename from software/tabix/bgzip/functions.nf rename to modules/tabix/bgzip/functions.nf diff --git a/software/tabix/bgzip/main.nf b/modules/tabix/bgzip/main.nf similarity index 100% rename from software/tabix/bgzip/main.nf rename to modules/tabix/bgzip/main.nf diff --git a/software/tabix/bgzip/meta.yml b/modules/tabix/bgzip/meta.yml similarity index 100% rename from software/tabix/bgzip/meta.yml rename to modules/tabix/bgzip/meta.yml diff --git a/software/tabix/bgziptabix/functions.nf b/modules/tabix/bgziptabix/functions.nf similarity index 100% rename from software/tabix/bgziptabix/functions.nf rename to modules/tabix/bgziptabix/functions.nf diff --git a/software/tabix/bgziptabix/main.nf b/modules/tabix/bgziptabix/main.nf similarity index 100% rename from software/tabix/bgziptabix/main.nf rename to modules/tabix/bgziptabix/main.nf diff --git a/software/tabix/bgziptabix/meta.yml b/modules/tabix/bgziptabix/meta.yml similarity index 100% rename from software/tabix/bgziptabix/meta.yml rename to modules/tabix/bgziptabix/meta.yml diff --git a/software/tabix/tabix/functions.nf b/modules/tabix/tabix/functions.nf similarity index 100% rename from software/tabix/tabix/functions.nf rename to modules/tabix/tabix/functions.nf diff --git a/software/tabix/tabix/main.nf b/modules/tabix/tabix/main.nf similarity index 100% rename from software/tabix/tabix/main.nf rename to modules/tabix/tabix/main.nf diff --git a/software/tabix/tabix/meta.yml b/modules/tabix/tabix/meta.yml similarity index 100% rename from software/tabix/tabix/meta.yml rename to modules/tabix/tabix/meta.yml diff --git a/software/tiddit/sv/functions.nf b/modules/tiddit/sv/functions.nf similarity index 100% rename from software/tiddit/sv/functions.nf rename to modules/tiddit/sv/functions.nf diff --git a/software/tiddit/sv/main.nf b/modules/tiddit/sv/main.nf similarity index 100% rename from software/tiddit/sv/main.nf rename to modules/tiddit/sv/main.nf diff --git a/software/tiddit/sv/meta.yml b/modules/tiddit/sv/meta.yml similarity index 100% rename from software/tiddit/sv/meta.yml rename to modules/tiddit/sv/meta.yml diff --git a/software/trimgalore/functions.nf b/modules/trimgalore/functions.nf similarity index 100% rename from software/trimgalore/functions.nf rename to modules/trimgalore/functions.nf diff --git a/software/trimgalore/main.nf b/modules/trimgalore/main.nf similarity index 100% rename from software/trimgalore/main.nf rename to modules/trimgalore/main.nf diff --git a/software/trimgalore/meta.yml b/modules/trimgalore/meta.yml similarity index 100% rename from software/trimgalore/meta.yml rename to modules/trimgalore/meta.yml diff --git a/software/ucsc/bed12tobigbed/functions.nf b/modules/ucsc/bed12tobigbed/functions.nf similarity index 100% rename from software/ucsc/bed12tobigbed/functions.nf rename to modules/ucsc/bed12tobigbed/functions.nf diff --git a/software/ucsc/bed12tobigbed/main.nf b/modules/ucsc/bed12tobigbed/main.nf similarity index 100% rename from software/ucsc/bed12tobigbed/main.nf rename to modules/ucsc/bed12tobigbed/main.nf diff --git a/software/ucsc/bed12tobigbed/meta.yml b/modules/ucsc/bed12tobigbed/meta.yml similarity index 100% rename from software/ucsc/bed12tobigbed/meta.yml rename to modules/ucsc/bed12tobigbed/meta.yml diff --git a/software/ucsc/bedclip/functions.nf b/modules/ucsc/bedclip/functions.nf similarity index 100% rename from software/ucsc/bedclip/functions.nf rename to modules/ucsc/bedclip/functions.nf diff --git a/software/ucsc/bedclip/main.nf b/modules/ucsc/bedclip/main.nf similarity index 100% rename from software/ucsc/bedclip/main.nf rename to modules/ucsc/bedclip/main.nf diff --git a/software/ucsc/bedclip/meta.yml b/modules/ucsc/bedclip/meta.yml similarity index 100% rename from software/ucsc/bedclip/meta.yml rename to modules/ucsc/bedclip/meta.yml diff --git a/software/ucsc/bedgraphtobigwig/functions.nf b/modules/ucsc/bedgraphtobigwig/functions.nf similarity index 100% rename from software/ucsc/bedgraphtobigwig/functions.nf rename to modules/ucsc/bedgraphtobigwig/functions.nf diff --git a/software/ucsc/bedgraphtobigwig/main.nf b/modules/ucsc/bedgraphtobigwig/main.nf similarity index 100% rename from software/ucsc/bedgraphtobigwig/main.nf rename to modules/ucsc/bedgraphtobigwig/main.nf diff --git a/software/ucsc/bedgraphtobigwig/meta.yml b/modules/ucsc/bedgraphtobigwig/meta.yml similarity index 100% rename from software/ucsc/bedgraphtobigwig/meta.yml rename to modules/ucsc/bedgraphtobigwig/meta.yml diff --git a/software/ucsc/bigwigaverageoverbed/functions.nf b/modules/ucsc/bigwigaverageoverbed/functions.nf similarity index 100% rename from software/ucsc/bigwigaverageoverbed/functions.nf rename to modules/ucsc/bigwigaverageoverbed/functions.nf diff --git a/software/ucsc/bigwigaverageoverbed/main.nf b/modules/ucsc/bigwigaverageoverbed/main.nf similarity index 100% rename from software/ucsc/bigwigaverageoverbed/main.nf rename to modules/ucsc/bigwigaverageoverbed/main.nf diff --git a/software/ucsc/bigwigaverageoverbed/meta.yml b/modules/ucsc/bigwigaverageoverbed/meta.yml similarity index 100% rename from software/ucsc/bigwigaverageoverbed/meta.yml rename to modules/ucsc/bigwigaverageoverbed/meta.yml diff --git a/software/ucsc/wigtobigwig/functions.nf b/modules/ucsc/wigtobigwig/functions.nf similarity index 100% rename from software/ucsc/wigtobigwig/functions.nf rename to modules/ucsc/wigtobigwig/functions.nf diff --git a/software/ucsc/wigtobigwig/main.nf b/modules/ucsc/wigtobigwig/main.nf similarity index 100% rename from software/ucsc/wigtobigwig/main.nf rename to modules/ucsc/wigtobigwig/main.nf diff --git a/software/ucsc/wigtobigwig/meta.yml b/modules/ucsc/wigtobigwig/meta.yml similarity index 100% rename from software/ucsc/wigtobigwig/meta.yml rename to modules/ucsc/wigtobigwig/meta.yml diff --git a/software/umitools/dedup/functions.nf b/modules/umitools/dedup/functions.nf similarity index 100% rename from software/umitools/dedup/functions.nf rename to modules/umitools/dedup/functions.nf diff --git a/software/umitools/dedup/main.nf b/modules/umitools/dedup/main.nf similarity index 100% rename from software/umitools/dedup/main.nf rename to modules/umitools/dedup/main.nf diff --git a/software/umitools/extract/functions.nf b/modules/umitools/extract/functions.nf similarity index 100% rename from software/umitools/extract/functions.nf rename to modules/umitools/extract/functions.nf diff --git a/software/umitools/extract/main.nf b/modules/umitools/extract/main.nf similarity index 100% rename from software/umitools/extract/main.nf rename to modules/umitools/extract/main.nf diff --git a/software/unicycler/functions.nf b/modules/unicycler/functions.nf similarity index 100% rename from software/unicycler/functions.nf rename to modules/unicycler/functions.nf diff --git a/software/unicycler/main.nf b/modules/unicycler/main.nf similarity index 100% rename from software/unicycler/main.nf rename to modules/unicycler/main.nf diff --git a/software/unicycler/meta.yml b/modules/unicycler/meta.yml similarity index 100% rename from software/unicycler/meta.yml rename to modules/unicycler/meta.yml diff --git a/software/untar/functions.nf b/modules/untar/functions.nf similarity index 100% rename from software/untar/functions.nf rename to modules/untar/functions.nf diff --git a/software/untar/main.nf b/modules/untar/main.nf similarity index 100% rename from software/untar/main.nf rename to modules/untar/main.nf diff --git a/software/untar/meta.yml b/modules/untar/meta.yml similarity index 100% rename from software/untar/meta.yml rename to modules/untar/meta.yml diff --git a/software/vcftools/functions.nf b/modules/vcftools/functions.nf similarity index 100% rename from software/vcftools/functions.nf rename to modules/vcftools/functions.nf diff --git a/software/vcftools/main.nf b/modules/vcftools/main.nf similarity index 100% rename from software/vcftools/main.nf rename to modules/vcftools/main.nf diff --git a/software/vcftools/meta.yml b/modules/vcftools/meta.yml similarity index 100% rename from software/vcftools/meta.yml rename to modules/vcftools/meta.yml diff --git a/software/yara/index/functions.nf b/modules/yara/index/functions.nf similarity index 100% rename from software/yara/index/functions.nf rename to modules/yara/index/functions.nf diff --git a/software/yara/index/main.nf b/modules/yara/index/main.nf similarity index 100% rename from software/yara/index/main.nf rename to modules/yara/index/main.nf diff --git a/software/yara/index/meta.yml b/modules/yara/index/meta.yml similarity index 100% rename from software/yara/index/meta.yml rename to modules/yara/index/meta.yml diff --git a/software/yara/mapper/functions.nf b/modules/yara/mapper/functions.nf similarity index 100% rename from software/yara/mapper/functions.nf rename to modules/yara/mapper/functions.nf diff --git a/software/yara/mapper/main.nf b/modules/yara/mapper/main.nf similarity index 100% rename from software/yara/mapper/main.nf rename to modules/yara/mapper/main.nf diff --git a/software/yara/mapper/meta.yml b/modules/yara/mapper/meta.yml similarity index 100% rename from software/yara/mapper/meta.yml rename to modules/yara/mapper/meta.yml diff --git a/tests/config/pytest_modules.yml b/tests/config/pytest_modules.yml new file mode 100644 index 00000000..a839d410 --- /dev/null +++ b/tests/config/pytest_modules.yml @@ -0,0 +1,820 @@ +abacas: + - modules/abacas/** + - tests/modules/abacas/** + +adapterremoval: + - modules/adapterremoval/** + - tests/modules/adapterremoval/** + +allelecounter: + - modules/allelecounter/** + - tests/modules/allelecounter/** + +artic/guppyplex: + - modules/artic/guppyplex/** + - tests/modules/artic/guppyplex/** + +artic/minion: + - modules/artic/minion/** + - tests/modules/artic/minion/** + +bandage/image: + - modules/bandage/image/** + - tests/modules/bandage/image/** + +bbmap/bbduk: + - modules/bbmap/bbduk/** + - tests/modules/bbmap/bbduk/** + +bcftools/consensus: + - modules/bcftools/consensus/** + - tests/modules/bcftools/consensus/** + +bcftools/filter: + - modules/bcftools/filter/** + - tests/modules/bcftools/filter/** + +bcftools/isec: + - modules/bcftools/isec/** + - tests/modules/bcftools/isec/** + +bcftools/merge: + - modules/bcftools/merge/** + - tests/modules/bcftools/merge/** + +bcftools/mpileup: + - modules/bcftools/mpileup/** + - tests/modules/bcftools/mpileup/** + +bcftools/stats: + - modules/bcftools/stats/** + - tests/modules/bcftools/stats/** + +bedtools/bamtobed: + - modules/bedtools/bamtobed/** + - tests/modules/bedtools/bamtobed/** + +bedtools/complement: + - modules/bedtools/complement/** + - tests/modules/bedtools/complement/** + +bedtools/genomecov: + - modules/bedtools/genomecov/** + - tests/modules/bedtools/genomecov/** + +bedtools/getfasta: + - modules/bedtools/getfasta/** + - tests/modules/bedtools/getfasta/** + +bedtools/intersect: + - modules/bedtools/intersect/** + - tests/modules/bedtools/intersect/** + +bedtools/maskfasta: + - modules/bedtools/maskfasta/** + - tests/modules/bedtools/maskfasta/** + +bedtools/merge: + - modules/bedtools/merge/** + - tests/modules/bedtools/merge/** + +bedtools/slop: + - modules/bedtools/slop/** + - tests/modules/bedtools/slop/** + +bedtools/sort: + - modules/bedtools/sort/** + - tests/modules/bedtools/sort/** + +bedtools/subtract: + - modules/bedtools/subtract/** + - tests/modules/bedtools/subtract/** + +bismark/align: + - modules/bismark/align/** + - modules/bismark/genomepreparation/** + - tests/modules/bismark/align/** + +bismark/deduplicate: + - modules/bismark/deduplicate/** + - tests/modules/bismark/deduplicate/** + +bismark/genomepreparation: + - modules/bismark/genomepreparation/** + - tests/modules/bismark/genomepreparation/** + +bismark/methylationextractor: + - modules/bismark/methylationextractor/** + - modules/bismark/genomepreparation/** + - tests/modules/bismark/methylationextractor/** + +bismark/report: + - modules/bismark/genomepreparation/** + - modules/bismark/align/** + - modules/bismark/deduplicate/** + - modules/bismark/methylationextractor/** + - modules/bismark/report/** + - tests/modules/bismark/report/** + +bismark/summary: + - modules/bismark/genomepreparation/** + - modules/bismark/align/** + - modules/bismark/deduplicate/** + - modules/bismark/methylationextractor/** + - modules/bismark/summary/** + - tests/modules/bismark/summary/** + +blast/blastn: + - modules/blast/blastn/** + - tests/modules/blast/blastn/** + +blast/makeblastdb: + - modules/blast/makeblastdb/** + - tests/modules/blast/makeblastdb/** + +bowtie/align: + - modules/bowtie/align/** + - modules/bowtie/build/** + - tests/modules/bowtie/align/** + +bowtie/build: + - modules/bowtie/build/** + - tests/modules/bowtie/build_test/** + +bowtie2/align: + - modules/bowtie2/align/** + - modules/bowtie2/build/** + - tests/modules/bowtie2/align/** + +bowtie2/build: + - modules/bowtie2/build/** + - tests/modules/bowtie2/build_test/** + +bwa/index: + - modules/bwa/index/** + - tests/modules/bwa/index/** + +bwa/mem: + - modules/bwa/mem/** + - tests/modules/bwa/mem/** + +bwamem2/index: + - modules/bwamem2/index/** + - tests/modules/bwamem2/index/** + +bwamem2/mem: + - modules/bwamem2/mem/** + - tests/modules/bwamem2/mem/** + +bwameth/align: + - modules/bwameth/align/** + - tests/modules/bwameth/align/** + +bwameth/index: + - modules/bwameth/index/** + - tests/modules/bwameth/index/** + +cat/fastq: + - modules/cat/fastq/** + - tests/modules/cat/fastq/** + +cnvkit: + - modules/cnvkit/** + - tests/modules/cnvkit/** + +cooler/digest: + - modules/cooler/digest/** + - tests/modules/cooler/digest/** + +cooler/dump: + - modules/cooler/dump/** + - tests/modules/cooler/dump/** + +cutadapt: + - modules/cutadapt/** + - tests/modules/cutadapt/** + +damageprofiler: + - modules/damageprofiler/** + - tests/modules/damageprofiler/** + +deeptools/computematrix: + - modules/deeptools/computematrix/** + - tests/modules/deeptools/computematrix/** + +deeptools/plotfingerprint: + - modules/deeptools/plotfingerprint/** + - tests/modules/deeptools/plotfingerprint/** + +deeptools/plotheatmap: + - modules/deeptools/plotheatmap/** + - tests/modules/deeptools/plotheatmap/** + +deeptools/plotprofile: + - modules/deeptools/plotprofile/** + - tests/modules/deeptools/plotprofile/** + +delly/call: + - modules/delly/call/** + - tests/modules/delly/call/** + +dshbio/filterbed: + - modules/dshbio/filterbed/** + - tests/modules/dshbio/filterbed/** + +dshbio/filtergff3: + - modules/dshbio/filtergff3/** + - tests/modules/dshbio/filtergff3/** + +dshbio/splitbed: + - modules/dshbio/splitbed/** + - tests/modules/dshbio/splitbed/** + +dshbio/splitgff3: + - modules/dshbio/splitgff3/** + - tests/modules/dshbio/splitgff3/** + +ensemblvep: + - modules/ensemblvep/** + - tests/modules/ensemblvep/** + +fastp: + - modules/fastp/** + - tests/modules/fastp/** + +fastqc: + - modules/fastqc/** + - tests/modules/fastqc/** + +fasttree: + - modules/fasttree/** + - tests/modules/fasttree/** + +fgbio/callmolecularconsensusreads: + - modules/fgbio/callmolecularconsensusreads/** + - tests/modules/fgbio/callmolecularconsensusreads/** + +fgbio/sortbam: + - modules/fgbio/sortbam/** + - tests/modules/fgbio/sortbam/** + +flash: + - modules/flash/** + - tests/modules/flash/** + +gatk4/applybqsr: + - modules/gatk4/applybqsr/** + - tests/modules/gatk4/applybqsr/** + +gatk4/baserecalibrator: + - modules/gatk4/baserecalibrator/** + - tests/modules/gatk4/baserecalibrator/** + +gatk4/bedtointervallist: + - modules/gatk4/bedtointervallist/** + - tests/modules/gatk4/bedtointervallist/** + +gatk4/createsequencedictionary: + - modules/gatk4/createsequencedictionary/** + - tests/modules/gatk4/createsequencedictionary/** + +gatk4/fastqtosam: + - modules/gatk4/fastqtosam/** + - tests/modules/gatk4/fastqtosam/** + +gatk4/haplotypecaller: + - modules/gatk4/haplotypecaller/** + - tests/modules/gatk4/haplotypecaller/** + +gatk4/intervallisttools: + - modules/gatk4/intervallisttools/** + - tests/modules/gatk4/intervallisttools/** + +gatk4/markduplicates: + - modules/gatk4/markduplicates/** + - tests/modules/gatk4/markduplicates/** + +gatk4/mergebamalignment: + - modules/gatk4/mergebamalignment/** + - tests/modules/gatk4/mergebamalignment/** + +gatk4/mergevcfs: + - modules/gatk4/mergevcfs/** + - tests/modules/gatk4/mergevcfs/** + +gatk4/revertsam: + - modules/gatk4/revertsam/** + - tests/modules/gatk4/revertsam/** + +gatk4/samtofastq: + - modules/gatk4/samtofastq/** + - tests/modules/gatk4/samtofastq/** + +gatk4/splitncigarreads: + - modules/gatk4/splitncigarreads/** + - tests/modules/gatk4/splitncigarreads/** + +gatk4/variantfiltration: + - modules/gatk4/variantfiltration/** + - tests/modules/gatk4/variantfiltration/** + +genmap/index: + - modules/genmap/index/** + - tests/modules/genmap/index/** + +genmap/mappability: + - modules/genmap/mappability/** + - tests/modules/genmap/mappability/** + +gffread: + - modules/gffread/** + - tests/modules/gffread/** + +graphmap2/align: + - modules/graphmap2/align/** + - tests/modules/graphmap2/align/** + +graphmap2/index: + - modules/graphmap2/index/** + - tests/modules/graphmap2/index/** + +gubbins: + - modules/gubbins/** + - tests/modules/gubbins/** + +gunzip: + - modules/gunzip/** + - tests/modules/gunzip/** + +hisat2/align: + - modules/hisat2/align/** + - modules/hisat2/build/** + - modules/hisat2/extractsplicesites/** + - tests/modules/hisat2/align/** + +hisat2/build: + - modules/hisat2/build/** + - modules/hisat2/extractsplicesites/** + - tests/modules/hisat2/build_test/** + +hisat2/extractsplicesites: + - modules/hisat2/extractsplicesites/** + - tests/modules/hisat2/extractsplicesites/** + +hmmer/hmmalign: + - modules/hmmer/hmmalign/** + - tests/modules/hmmer/hmmalign/** + +homer/annotatepeaks: + - modules/homer/annotatepeaks/** + - tests/modules/homer/annotatepeaks/** + +iqtree: + - modules/iqtree/** + - tests/modules/iqtree/** + +ivar/consensus: + - modules/ivar/consensus/** + - tests/modules/ivar/consensus/** + +ivar/trim: + - modules/ivar/trim/** + - tests/modules/ivar/trim/** + +ivar/variants: + - modules/ivar/variants/** + - tests/modules/ivar/variants/** + +kallisto/index: + - modules/kallisto/index/** + - tests/modules/kallisto/index/** + +kallistobustools/count: + - modules/kallistobustools/count/** + - tests/modules/kallistobustools/count/** + +kallistobustools/ref: + - modules/kallistobustools/ref/** + - tests/modules/kallistobustools/ref/** + +kraken2/kraken2: + - modules/kraken2/kraken2/** + - modules/untar/** + - tests/modules/kraken2/kraken2/** + +last/dotplot: + - modules/last/dotplot/** + - tests/modules/last/dotplot/** + +last/lastal: + - modules/last/lastal/** + - tests/modules/last/lastal/** + +last/lastdb: + - modules/last/lastdb/** + - tests/modules/last/lastdb/** + +last/mafconvert: + - modules/last/mafconvert/** + - tests/modules/last/mafconvert/** + +last/mafswap: + - modules/last/mafswap/** + - tests/modules/last/mafswap/** + +last/postmask: + - modules/last/postmask/** + - tests/modules/last/postmask/** + +last/split: + - modules/last/split/** + - tests/modules/last/split/** + +last/train: + - modules/last/train/** + - tests/modules/last/train/** + +lofreq/call: + - modules/lofreq/call/** + - tests/modules/lofreq/call/** + +lofreq/callparallel: + - modules/lofreq/callparallel/** + - tests/modules/lofreq/callparallel/** + +lofreq/filter: + - modules/lofreq/filter/** + - tests/modules/lofreq/filter/** + +lofreq/indelqual: + - modules/lofreq/indelqual/** + - tests/modules/lofreq/indelqual/** + +mash/sketch: + - modules/mash/sketch/** + - tests/modules/mash/sketch/** + +metaphlan3: + - modules/metaphlan3/** + - tests/modules/metaphlan3/** + +methyldackel/extract: + - modules/methyldackel/extract/** + - tests/modules/methyldackel/extract/** + +methyldackel/mbias: + - modules/methyldackel/mbias/** + - tests/modules/methyldackel/mbias/** + +minia: + - modules/minia/** + - tests/modules/minia/** + +minimap2/align: + - modules/minimap2/align/** + - tests/modules/minimap2/align/** + +minimap2/index: + - modules/minimap2/index/** + - tests/modules/minimap2/index/** + +mosdepth: + - modules/mosdepth/** + - tests/modules/mosdepth/** + +msisensor/msi: + - modules/msisensor/msi/** + - tests/modules/msisensor/msi/** + +msisensor/scan: + - modules/msisensor/scan/** + - tests/modules/msisensor/scan/** + +multiqc: + - modules/fastqc/** + - modules/multiqc/** + - tests/modules/multiqc/** + +muscle: + - modules/muscle/** + - tests/modules/muscle/** + +nanolyse: + - modules/nanolyse/** + - tests/modules/nanolyse/** + +nanoplot: + - modules/nanoplot/** + - tests/modules/nanoplot/** + +nextclade: + - modules/nextclade/** + - tests/modules/nextclade/** + +optitype: + - modules/optitype/** + - tests/modules/optitype/** + +pairix: + - modules/pairix/** + - tests/modules/pairix/** + +pairtools/dedup: + - modules/pairtools/dedup/** + - tests/modules/pairtools/dedup/** + +pairtools/flip: + - modules/pairtools/flip/** + - tests/modules/pairtools/flip/** + +pairtools/parse: + - modules/pairtools/parse/** + - tests/modules/pairtools/parse/** + +pairtools/restrict: + - modules/pairtools/restrict/** + - tests/modules/pairtools/restrict/** + +pairtools/select: + - modules/pairtools/select/** + - tests/modules/pairtools/select/** + +pairtools/sort: + - modules/pairtools/sort/** + - tests/modules/pairtools/sort/** + +pangolin: + - modules/pangolin/** + - tests/modules/pangolin/** + +picard/collectmultiplemetrics: + - modules/picard/collectmultiplemetrics/** + - tests/modules/picard/collectmultiplemetrics/** + +picard/collectwgsmetrics: + - modules/picard/collectwgsmetrics/** + - tests/modules/picard/collectwgsmetrics/** + +picard/markduplicates: + - modules/picard/markduplicates/** + - tests/modules/picard/markduplicates/** + +picard/mergesamfiles: + - modules/picard/mergesamfiles/** + - tests/modules/picard/mergesamfiles/** + +plasmidid: + - modules/plasmidid/** + - tests/modules/plasmidid/** + +preseq/lcextrap: + - modules/preseq/lcextrap/** + - tests/modules/preseq/lcextrap/** + +prodigal: + - modules/prodigal/** + - tests/modules/prodigal/** + +prokka: + - modules/prokka/** + - tests/modules/prokka/** + +pycoqc: + - modules/pycoqc/** + - tests/modules/pycoqc/** + +qcat: + - modules/qcat/** + - tests/modules/qcat/** + +qualimap/bamqc: + - modules/qualimap/bamqc/** + - tests/modules/qualimap/bamqc/** + +quast: + - modules/quast/** + - tests/modules/quast/** + +rapidnj: + - modules/rapidnj/** + - tests/modules/rapidnj/** + +rasusa: + - modules/rasusa/** + - tests/modules/rasusa/** + +raxmlng: + - modules/raxmlng/** + - tests/modules/raxmlng/** + +rsem/calculateexpression: + - modules/rsem/calculateexpression/** + - tests/modules/rsem/calculateexpression/** + +rsem/preparereference: + - modules/rsem/preparereference/** + - tests/modules/rsem/preparereference/** + +rseqc/bamstat: + - modules/rseqc/bamstat/** + - tests/modules/rseqc/bamstat/** + +rseqc/inferexperiment: + - modules/rseqc/inferexperiment/** + - tests/modules/rseqc/inferexperiment/** + +rseqc/innerdistance: + - modules/rseqc/innerdistance/** + - tests/modules/rseqc/innerdistance/** + +rseqc/junctionannotation: + - modules/rseqc/junctionannotation/** + - tests/modules/rseqc/junctionannotation/** + +rseqc/junctionsaturation: + - modules/rseqc/junctionsaturation/** + - tests/modules/rseqc/junctionsaturation/** + +rseqc/readdistribution: + - modules/rseqc/readdistribution/** + - tests/modules/rseqc/readdistribution/** + +rseqc/readduplication: + - modules/rseqc/readduplication/** + - tests/modules/rseqc/readduplication/** + +salmon/index: + - modules/salmon/index/** + - tests/modules/salmon/index/** + +salmon/quant: + - modules/salmon/quant/** + - tests/modules/salmon/quant/** + +samtools/faidx: + - modules/samtools/faidx/** + - tests/modules/samtools/faidx/** + +samtools/fastq: + - modules/samtools/fastq/** + - tests/modules/samtools/fastq/** + +samtools/flagstat: + - modules/samtools/flagstat/** + - tests/modules/samtools/flagstat/** + +samtools/idxstats: + - modules/samtools/idxstats/** + - tests/modules/samtools/idxstats/** + +samtools/index: + - modules/samtools/index/** + - tests/modules/samtools/index/** + +samtools/merge: + - modules/samtools/merge/** + - tests/modules/samtools/merge/** + +samtools/mpileup: + - modules/samtools/mpileup/** + - tests/modules/samtools/mpileup/** + +samtools/sort: + - modules/samtools/sort/** + - tests/modules/samtools/sort/** + +samtools/stats: + - modules/samtools/stats/** + - tests/modules/samtools/stats/** + +samtools/view: + - modules/samtools/view/** + - tests/modules/samtools/view/** + +seacr/callpeak: + - modules/seacr/callpeak/** + - tests/modules/seacr/callpeak/** + +seqkit/split2: + - modules/seqkit/split2/** + - tests/modules/seqkit/split2/** + +seqtk/sample: + - modules/seqtk/sample/** + - tests/modules/seqtk/sample/** + +seqtk/subseq: + - modules/seqtk/subseq/** + - tests/modules/seqtk/subseq/** + +sequenzautils/bam2seqz: + - modules/sequenzautils/bam2seqz/** + - tests/modules/sequenzautils/bam2seqz/** + +sequenzautils/gcwiggle: + - modules/sequenzautils/gcwiggle/** + - tests/modules/sequenzautils/gcwiggle/** + +seqwish/induce: + - modules/seqwish/induce/** + - tests/modules/seqwish/induce/** + +shovill: + - modules/shovill/** + - tests/modules/shovill/** + +snpeff: + - modules/snpeff/** + - tests/modules/snpeff/** + +snpsites: + - modules/snpsites/** + - tests/modules/snpsites/** + +spades: + - modules/spades/** + - tests/modules/spades/** + +star/align: + - modules/star/align/** + - tests/modules/star/align/** + +star/genomegenerate: + - modules/star/genomegenerate/** + - tests/modules/star/genomegenerate/** + +strelka/germline: + - modules/strelka/germline/** + - tests/modules/strelka/germline/** + +stringtie/merge: + - modules/stringtie/merge/** + - tests/modules/stringtie/merge/** + +stringtie/stringtie: + - modules/stringtie/stringtie/** + - tests/modules/stringtie/stringtie/** + +subread/featurecounts: + - modules/subread/featurecounts/** + - tests/modules/subread/featurecounts/** + +tabix/bgzip: + - modules/tabix/bgzip/** + - tests/modules/tabix/bgzip/** + +tabix/bgziptabix: + - modules/tabix/bgziptabix/** + - tests/modules/tabix/bgziptabix/** + +tabix/tabix: + - modules/tabix/tabix/** + - tests/modules/tabix/tabix/** + +tiddit/sv: + - modules/tiddit/sv/** + - tests/modules/tiddit/sv/** + +trimgalore: + - modules/trimgalore/** + - tests/modules/trimgalore/** + +ucsc/bed12tobigbed: + - modules/ucsc/bed12tobigbed/** + - tests/modules/ucsc/bed12tobigbed/** + +ucsc/bedclip: + - modules/ucsc/bedclip/** + - tests/modules/ucsc/bedclip/** + +ucsc/bedgraphtobigwig: + - modules/ucsc/bedgraphtobigwig/** + - tests/modules/ucsc/bedgraphtobigwig/** + +ucsc/bigwigaverageoverbed: + - modules/ucsc/bigwigaverageoverbed/** + - tests/modules/ucsc/bigwigaverageoverbed/** + +ucsc/wigtobigwig: + - modules/ucsc/wigtobigwig/** + - tests/modules/ucsc/wigtobigwig/** + +unicycler: + - modules/unicycler/** + - tests/modules/unicycler/** + +untar: + - modules/untar/** + - tests/modules/untar/** + +vcftools: + - modules/vcftools/** + - tests/modules/vcftools/** + +yara/index: + - modules/yara/index/** + - tests/modules/yara/index/** + +yara/mapper: + - modules/yara/mapper/** + - tests/modules/yara/mapper/** diff --git a/tests/config/pytest_software.yml b/tests/config/pytest_software.yml deleted file mode 100644 index 543d8e0e..00000000 --- a/tests/config/pytest_software.yml +++ /dev/null @@ -1,820 +0,0 @@ -abacas: - - software/abacas/** - - tests/software/abacas/** - -adapterremoval: - - software/adapterremoval/** - - tests/software/adapterremoval/** - -allelecounter: - - software/allelecounter/** - - tests/software/allelecounter/** - -artic/guppyplex: - - software/artic/guppyplex/** - - tests/software/artic/guppyplex/** - -artic/minion: - - software/artic/minion/** - - tests/software/artic/minion/** - -bandage/image: - - software/bandage/image/** - - tests/software/bandage/image/** - -bbmap/bbduk: - - software/bbmap/bbduk/** - - tests/software/bbmap/bbduk/** - -bcftools/consensus: - - software/bcftools/consensus/** - - tests/software/bcftools/consensus/** - -bcftools/filter: - - software/bcftools/filter/** - - tests/software/bcftools/filter/** - -bcftools/isec: - - software/bcftools/isec/** - - tests/software/bcftools/isec/** - -bcftools/merge: - - software/bcftools/merge/** - - tests/software/bcftools/merge/** - -bcftools/mpileup: - - software/bcftools/mpileup/** - - tests/software/bcftools/mpileup/** - -bcftools/stats: - - software/bcftools/stats/** - - tests/software/bcftools/stats/** - -bedtools/bamtobed: - - software/bedtools/bamtobed/** - - tests/software/bedtools/bamtobed/** - -bedtools/complement: - - software/bedtools/complement/** - - tests/software/bedtools/complement/** - -bedtools/genomecov: - - software/bedtools/genomecov/** - - tests/software/bedtools/genomecov/** - -bedtools/getfasta: - - software/bedtools/getfasta/** - - tests/software/bedtools/getfasta/** - -bedtools/intersect: - - software/bedtools/intersect/** - - tests/software/bedtools/intersect/** - -bedtools/maskfasta: - - software/bedtools/maskfasta/** - - tests/software/bedtools/maskfasta/** - -bedtools/merge: - - software/bedtools/merge/** - - tests/software/bedtools/merge/** - -bedtools/slop: - - software/bedtools/slop/** - - tests/software/bedtools/slop/** - -bedtools/sort: - - software/bedtools/sort/** - - tests/software/bedtools/sort/** - -bedtools/subtract: - - software/bedtools/subtract/** - - tests/software/bedtools/subtract/** - -bismark/align: - - software/bismark/align/** - - software/bismark/genomepreparation/** - - tests/software/bismark/align/** - -bismark/deduplicate: - - software/bismark/deduplicate/** - - tests/software/bismark/deduplicate/** - -bismark/genomepreparation: - - software/bismark/genomepreparation/** - - tests/software/bismark/genomepreparation/** - -bismark/methylationextractor: - - software/bismark/methylationextractor/** - - software/bismark/genomepreparation/** - - tests/software/bismark/methylationextractor/** - -bismark/report: - - software/bismark/genomepreparation/** - - software/bismark/align/** - - software/bismark/deduplicate/** - - software/bismark/methylationextractor/** - - software/bismark/report/** - - tests/software/bismark/report/** - -bismark/summary: - - software/bismark/genomepreparation/** - - software/bismark/align/** - - software/bismark/deduplicate/** - - software/bismark/methylationextractor/** - - software/bismark/summary/** - - tests/software/bismark/summary/** - -blast/blastn: - - software/blast/blastn/** - - tests/software/blast/blastn/** - -blast/makeblastdb: - - software/blast/makeblastdb/** - - tests/software/blast/makeblastdb/** - -bowtie/align: - - software/bowtie/align/** - - software/bowtie/build/** - - tests/software/bowtie/align/** - -bowtie/build: - - software/bowtie/build/** - - tests/software/bowtie/build_test/** - -bowtie2/align: - - software/bowtie2/align/** - - software/bowtie2/build/** - - tests/software/bowtie2/align/** - -bowtie2/build: - - software/bowtie2/build/** - - tests/software/bowtie2/build_test/** - -bwa/index: - - software/bwa/index/** - - tests/software/bwa/index/** - -bwa/mem: - - software/bwa/mem/** - - tests/software/bwa/mem/** - -bwamem2/index: - - software/bwamem2/index/** - - tests/software/bwamem2/index/** - -bwamem2/mem: - - software/bwamem2/mem/** - - tests/software/bwamem2/mem/** - -bwameth/align: - - software/bwameth/align/** - - tests/software/bwameth/align/** - -bwameth/index: - - software/bwameth/index/** - - tests/software/bwameth/index/** - -cat/fastq: - - software/cat/fastq/** - - tests/software/cat/fastq/** - -cnvkit: - - software/cnvkit/** - - tests/software/cnvkit/** - -cooler/digest: - - software/cooler/digest/** - - tests/software/cooler/digest/** - -cooler/dump: - - software/cooler/dump/** - - tests/software/cooler/dump/** - -cutadapt: - - software/cutadapt/** - - tests/software/cutadapt/** - -damageprofiler: - - software/damageprofiler/** - - tests/software/damageprofiler/** - -deeptools/computematrix: - - software/deeptools/computematrix/** - - tests/software/deeptools/computematrix/** - -deeptools/plotfingerprint: - - software/deeptools/plotfingerprint/** - - tests/software/deeptools/plotfingerprint/** - -deeptools/plotheatmap: - - software/deeptools/plotheatmap/** - - tests/software/deeptools/plotheatmap/** - -deeptools/plotprofile: - - software/deeptools/plotprofile/** - - tests/software/deeptools/plotprofile/** - -delly/call: - - software/delly/call/** - - tests/software/delly/call/** - -dshbio/filterbed: - - software/dshbio/filterbed/** - - tests/software/dshbio/filterbed/** - -dshbio/filtergff3: - - software/dshbio/filtergff3/** - - tests/software/dshbio/filtergff3/** - -dshbio/splitbed: - - software/dshbio/splitbed/** - - tests/software/dshbio/splitbed/** - -dshbio/splitgff3: - - software/dshbio/splitgff3/** - - tests/software/dshbio/splitgff3/** - -ensemblvep: - - software/ensemblvep/** - - tests/software/ensemblvep/** - -fastp: - - software/fastp/** - - tests/software/fastp/** - -fastqc: - - software/fastqc/** - - tests/software/fastqc/** - -fasttree: - - software/fasttree/** - - tests/software/fasttree/** - -fgbio/callmolecularconsensusreads: - - software/fgbio/callmolecularconsensusreads/** - - tests/software/fgbio/callmolecularconsensusreads/** - -fgbio/sortbam: - - software/fgbio/sortbam/** - - tests/software/fgbio/sortbam/** - -flash: - - software/flash/** - - tests/software/flash/** - -gatk4/applybqsr: - - software/gatk4/applybqsr/** - - tests/software/gatk4/applybqsr/** - -gatk4/baserecalibrator: - - software/gatk4/baserecalibrator/** - - tests/software/gatk4/baserecalibrator/** - -gatk4/bedtointervallist: - - software/gatk4/bedtointervallist/** - - tests/software/gatk4/bedtointervallist/** - -gatk4/createsequencedictionary: - - software/gatk4/createsequencedictionary/** - - tests/software/gatk4/createsequencedictionary/** - -gatk4/fastqtosam: - - software/gatk4/fastqtosam/** - - tests/software/gatk4/fastqtosam/** - -gatk4/haplotypecaller: - - software/gatk4/haplotypecaller/** - - tests/software/gatk4/haplotypecaller/** - -gatk4/intervallisttools: - - software/gatk4/intervallisttools/** - - tests/software/gatk4/intervallisttools/** - -gatk4/markduplicates: - - software/gatk4/markduplicates/** - - tests/software/gatk4/markduplicates/** - -gatk4/mergebamalignment: - - software/gatk4/mergebamalignment/** - - tests/software/gatk4/mergebamalignment/** - -gatk4/mergevcfs: - - software/gatk4/mergevcfs/** - - tests/software/gatk4/mergevcfs/** - -gatk4/revertsam: - - software/gatk4/revertsam/** - - tests/software/gatk4/revertsam/** - -gatk4/samtofastq: - - software/gatk4/samtofastq/** - - tests/software/gatk4/samtofastq/** - -gatk4/splitncigarreads: - - software/gatk4/splitncigarreads/** - - tests/software/gatk4/splitncigarreads/** - -gatk4/variantfiltration: - - software/gatk4/variantfiltration/** - - tests/software/gatk4/variantfiltration/** - -genmap/index: - - software/genmap/index/** - - tests/software/genmap/index/** - -genmap/mappability: - - software/genmap/mappability/** - - tests/software/genmap/mappability/** - -gffread: - - software/gffread/** - - tests/software/gffread/** - -graphmap2/align: - - software/graphmap2/align/** - - tests/software/graphmap2/align/** - -graphmap2/index: - - software/graphmap2/index/** - - tests/software/graphmap2/index/** - -gubbins: - - software/gubbins/** - - tests/software/gubbins/** - -gunzip: - - software/gunzip/** - - tests/software/gunzip/** - -hisat2/align: - - software/hisat2/align/** - - software/hisat2/build/** - - software/hisat2/extractsplicesites/** - - tests/software/hisat2/align/** - -hisat2/build: - - software/hisat2/build/** - - software/hisat2/extractsplicesites/** - - tests/software/hisat2/build_test/** - -hisat2/extractsplicesites: - - software/hisat2/extractsplicesites/** - - tests/software/hisat2/extractsplicesites/** - -hmmer/hmmalign: - - software/hmmer/hmmalign/** - - tests/software/hmmer/hmmalign/** - -homer/annotatepeaks: - - software/homer/annotatepeaks/** - - tests/software/homer/annotatepeaks/** - -iqtree: - - software/iqtree/** - - tests/software/iqtree/** - -ivar/consensus: - - software/ivar/consensus/** - - tests/software/ivar/consensus/** - -ivar/trim: - - software/ivar/trim/** - - tests/software/ivar/trim/** - -ivar/variants: - - software/ivar/variants/** - - tests/software/ivar/variants/** - -kallisto/index: - - software/kallisto/index/** - - tests/software/kallisto/index/** - -kallistobustools/count: - - software/kallistobustools/count/** - - tests/software/kallistobustools/count/** - -kallistobustools/ref: - - software/kallistobustools/ref/** - - tests/software/kallistobustools/ref/** - -kraken2/kraken2: - - software/kraken2/kraken2/** - - software/untar/** - - tests/software/kraken2/kraken2/** - -last/dotplot: - - software/last/dotplot/** - - tests/software/last/dotplot/** - -last/lastal: - - software/last/lastal/** - - tests/software/last/lastal/** - -last/lastdb: - - software/last/lastdb/** - - tests/software/last/lastdb/** - -last/mafconvert: - - software/last/mafconvert/** - - tests/software/last/mafconvert/** - -last/mafswap: - - software/last/mafswap/** - - tests/software/last/mafswap/** - -last/postmask: - - software/last/postmask/** - - tests/software/last/postmask/** - -last/split: - - software/last/split/** - - tests/software/last/split/** - -last/train: - - software/last/train/** - - tests/software/last/train/** - -lofreq/call: - - software/lofreq/call/** - - tests/software/lofreq/call/** - -lofreq/callparallel: - - software/lofreq/callparallel/** - - tests/software/lofreq/callparallel/** - -lofreq/filter: - - software/lofreq/filter/** - - tests/software/lofreq/filter/** - -lofreq/indelqual: - - software/lofreq/indelqual/** - - tests/software/lofreq/indelqual/** - -mash/sketch: - - software/mash/sketch/** - - tests/software/mash/sketch/** - -metaphlan3: - - software/metaphlan3/** - - tests/software/metaphlan3/** - -methyldackel/extract: - - software/methyldackel/extract/** - - tests/software/methyldackel/extract/** - -methyldackel/mbias: - - software/methyldackel/mbias/** - - tests/software/methyldackel/mbias/** - -minia: - - software/minia/** - - tests/software/minia/** - -minimap2/align: - - software/minimap2/align/** - - tests/software/minimap2/align/** - -minimap2/index: - - software/minimap2/index/** - - tests/software/minimap2/index/** - -mosdepth: - - software/mosdepth/** - - tests/software/mosdepth/** - -msisensor/msi: - - software/msisensor/msi/** - - tests/software/msisensor/msi/** - -msisensor/scan: - - software/msisensor/scan/** - - tests/software/msisensor/scan/** - -multiqc: - - software/fastqc/** - - software/multiqc/** - - tests/software/multiqc/** - -muscle: - - software/muscle/** - - tests/software/muscle/** - -nanolyse: - - software/nanolyse/** - - tests/software/nanolyse/** - -nanoplot: - - software/nanoplot/** - - tests/software/nanoplot/** - -nextclade: - - software/nextclade/** - - tests/software/nextclade/** - -optitype: - - software/optitype/** - - tests/software/optitype/** - -pairix: - - software/pairix/** - - tests/software/pairix/** - -pairtools/dedup: - - software/pairtools/dedup/** - - tests/software/pairtools/dedup/** - -pairtools/flip: - - software/pairtools/flip/** - - tests/software/pairtools/flip/** - -pairtools/parse: - - software/pairtools/parse/** - - tests/software/pairtools/parse/** - -pairtools/restrict: - - software/pairtools/restrict/** - - tests/software/pairtools/restrict/** - -pairtools/select: - - software/pairtools/select/** - - tests/software/pairtools/select/** - -pairtools/sort: - - software/pairtools/sort/** - - tests/software/pairtools/sort/** - -pangolin: - - software/pangolin/** - - tests/software/pangolin/** - -picard/collectmultiplemetrics: - - software/picard/collectmultiplemetrics/** - - tests/software/picard/collectmultiplemetrics/** - -picard/collectwgsmetrics: - - software/picard/collectwgsmetrics/** - - tests/software/picard/collectwgsmetrics/** - -picard/markduplicates: - - software/picard/markduplicates/** - - tests/software/picard/markduplicates/** - -picard/mergesamfiles: - - software/picard/mergesamfiles/** - - tests/software/picard/mergesamfiles/** - -plasmidid: - - software/plasmidid/** - - tests/software/plasmidid/** - -preseq/lcextrap: - - software/preseq/lcextrap/** - - tests/software/preseq/lcextrap/** - -prodigal: - - software/prodigal/** - - tests/software/prodigal/** - -prokka: - - software/prokka/** - - tests/software/prokka/** - -pycoqc: - - software/pycoqc/** - - tests/software/pycoqc/** - -qcat: - - software/qcat/** - - tests/software/qcat/** - -qualimap/bamqc: - - software/qualimap/bamqc/** - - tests/software/qualimap/bamqc/** - -quast: - - software/quast/** - - tests/software/quast/** - -rapidnj: - - software/rapidnj/** - - tests/software/rapidnj/** - -rasusa: - - software/rasusa/** - - tests/software/rasusa/** - -raxmlng: - - software/raxmlng/** - - tests/software/raxmlng/** - -rsem/calculateexpression: - - software/rsem/calculateexpression/** - - tests/software/rsem/calculateexpression/** - -rsem/preparereference: - - software/rsem/preparereference/** - - tests/software/rsem/preparereference/** - -rseqc/bamstat: - - software/rseqc/bamstat/** - - tests/software/rseqc/bamstat/** - -rseqc/inferexperiment: - - software/rseqc/inferexperiment/** - - tests/software/rseqc/inferexperiment/** - -rseqc/innerdistance: - - software/rseqc/innerdistance/** - - tests/software/rseqc/innerdistance/** - -rseqc/junctionannotation: - - software/rseqc/junctionannotation/** - - tests/software/rseqc/junctionannotation/** - -rseqc/junctionsaturation: - - software/rseqc/junctionsaturation/** - - tests/software/rseqc/junctionsaturation/** - -rseqc/readdistribution: - - software/rseqc/readdistribution/** - - tests/software/rseqc/readdistribution/** - -rseqc/readduplication: - - software/rseqc/readduplication/** - - tests/software/rseqc/readduplication/** - -salmon/index: - - software/salmon/index/** - - tests/software/salmon/index/** - -salmon/quant: - - software/salmon/quant/** - - tests/software/salmon/quant/** - -samtools/faidx: - - software/samtools/faidx/** - - tests/software/samtools/faidx/** - -samtools/fastq: - - software/samtools/fastq/** - - tests/software/samtools/fastq/** - -samtools/flagstat: - - software/samtools/flagstat/** - - tests/software/samtools/flagstat/** - -samtools/idxstats: - - software/samtools/idxstats/** - - tests/software/samtools/idxstats/** - -samtools/index: - - software/samtools/index/** - - tests/software/samtools/index/** - -samtools/merge: - - software/samtools/merge/** - - tests/software/samtools/merge/** - -samtools/mpileup: - - software/samtools/mpileup/** - - tests/software/samtools/mpileup/** - -samtools/sort: - - software/samtools/sort/** - - tests/software/samtools/sort/** - -samtools/stats: - - software/samtools/stats/** - - tests/software/samtools/stats/** - -samtools/view: - - software/samtools/view/** - - tests/software/samtools/view/** - -seacr/callpeak: - - software/seacr/callpeak/** - - tests/software/seacr/callpeak/** - -seqkit/split2: - - software/seqkit/split2/** - - tests/software/seqkit/split2/** - -seqtk/sample: - - software/seqtk/sample/** - - tests/software/seqtk/sample/** - -seqtk/subseq: - - software/seqtk/subseq/** - - tests/software/seqtk/subseq/** - -sequenzautils/bam2seqz: - - software/sequenzautils/bam2seqz/** - - tests/software/sequenzautils/bam2seqz/** - -sequenzautils/gcwiggle: - - software/sequenzautils/gcwiggle/** - - tests/software/sequenzautils/gcwiggle/** - -seqwish/induce: - - software/seqwish/induce/** - - tests/software/seqwish/induce/** - -shovill: - - software/shovill/** - - tests/software/shovill/** - -snpeff: - - software/snpeff/** - - tests/software/snpeff/** - -snpsites: - - software/snpsites/** - - tests/software/snpsites/** - -spades: - - software/spades/** - - tests/software/spades/** - -star/align: - - software/star/align/** - - tests/software/star/align/** - -star/genomegenerate: - - software/star/genomegenerate/** - - tests/software/star/genomegenerate/** - -strelka/germline: - - software/strelka/germline/** - - tests/software/strelka/germline/** - -stringtie/merge: - - software/stringtie/merge/** - - tests/software/stringtie/merge/** - -stringtie/stringtie: - - software/stringtie/stringtie/** - - tests/software/stringtie/stringtie/** - -subread/featurecounts: - - software/subread/featurecounts/** - - tests/software/subread/featurecounts/** - -tabix/bgzip: - - software/tabix/bgzip/** - - tests/software/tabix/bgzip/** - -tabix/bgziptabix: - - software/tabix/bgziptabix/** - - tests/software/tabix/bgziptabix/** - -tabix/tabix: - - software/tabix/tabix/** - - tests/software/tabix/tabix/** - -tiddit/sv: - - software/tiddit/sv/** - - tests/software/tiddit/sv/** - -trimgalore: - - software/trimgalore/** - - tests/software/trimgalore/** - -ucsc/bed12tobigbed: - - software/ucsc/bed12tobigbed/** - - tests/software/ucsc/bed12tobigbed/** - -ucsc/bedclip: - - software/ucsc/bedclip/** - - tests/software/ucsc/bedclip/** - -ucsc/bedgraphtobigwig: - - software/ucsc/bedgraphtobigwig/** - - tests/software/ucsc/bedgraphtobigwig/** - -ucsc/bigwigaverageoverbed: - - software/ucsc/bigwigaverageoverbed/** - - tests/software/ucsc/bigwigaverageoverbed/** - -ucsc/wigtobigwig: - - software/ucsc/wigtobigwig/** - - tests/software/ucsc/wigtobigwig/** - -unicycler: - - software/unicycler/** - - tests/software/unicycler/** - -untar: - - software/untar/** - - tests/software/untar/** - -vcftools: - - software/vcftools/** - - tests/software/vcftools/** - -yara/index: - - software/yara/index/** - - tests/software/yara/index/** - -yara/mapper: - - software/yara/mapper/** - - tests/software/yara/mapper/** diff --git a/tests/software/abacas/main.nf b/tests/modules/abacas/main.nf similarity index 77% rename from tests/software/abacas/main.nf rename to tests/modules/abacas/main.nf index 00020039..dc58ed61 100644 --- a/tests/software/abacas/main.nf +++ b/tests/modules/abacas/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { ABACAS } from '../../../software/abacas/main.nf' addParams ( options: ['args' : '-m -p nucmer'] ) +include { ABACAS } from '../../../modules/abacas/main.nf' addParams ( options: ['args' : '-m -p nucmer'] ) workflow test_abacas { diff --git a/tests/software/abacas/test.yml b/tests/modules/abacas/test.yml similarity index 90% rename from tests/software/abacas/test.yml rename to tests/modules/abacas/test.yml index ec0e906b..899bc4db 100644 --- a/tests/software/abacas/test.yml +++ b/tests/modules/abacas/test.yml @@ -1,5 +1,5 @@ - name: abacas - command: nextflow run ./tests/software/abacas -entry test_abacas -c tests/config/nextflow.config + command: nextflow run ./tests/modules/abacas -entry test_abacas -c tests/config/nextflow.config tags: - abacas files: diff --git a/tests/software/adapterremoval/main.nf b/tests/modules/adapterremoval/main.nf similarity index 91% rename from tests/software/adapterremoval/main.nf rename to tests/modules/adapterremoval/main.nf index 87a7fadf..9dd37aa9 100644 --- a/tests/software/adapterremoval/main.nf +++ b/tests/modules/adapterremoval/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { ADAPTERREMOVAL } from '../../../software/adapterremoval/main.nf' addParams( options: [:] ) +include { ADAPTERREMOVAL } from '../../../modules/adapterremoval/main.nf' addParams( options: [:] ) workflow test_adapterremoval_single_end { input = [ [ id:'test', single_end:true, collapse:false ], // meta map diff --git a/tests/software/adapterremoval/test.yml b/tests/modules/adapterremoval/test.yml similarity index 72% rename from tests/software/adapterremoval/test.yml rename to tests/modules/adapterremoval/test.yml index 4900a803..95cd4b04 100644 --- a/tests/software/adapterremoval/test.yml +++ b/tests/modules/adapterremoval/test.yml @@ -1,5 +1,5 @@ - name: adapterremoval test_adapterremoval_single_end - command: nextflow run tests/software/adapterremoval -entry test_adapterremoval_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/adapterremoval -entry test_adapterremoval_single_end -c tests/config/nextflow.config tags: - adapterremoval files: @@ -9,7 +9,7 @@ md5sum: 62139afee94defad5b83bdd0b8475a1f - name: adapterremoval test_adapterremoval_paired_end - command: nextflow run tests/software/adapterremoval -entry test_adapterremoval_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/adapterremoval -entry test_adapterremoval_paired_end -c tests/config/nextflow.config tags: - adapterremoval files: @@ -21,7 +21,7 @@ md5sum: f076a9f666235e01a3281f8c46c9d010 - name: adapterremoval test_adapterremoval_paired_end_collapse - command: nextflow run tests/software/adapterremoval -entry test_adapterremoval_paired_end_collapse -c tests/config/nextflow.config + command: nextflow run tests/modules/adapterremoval -entry test_adapterremoval_paired_end_collapse -c tests/config/nextflow.config tags: - adapterremoval files: diff --git a/tests/software/allelecounter/main.nf b/tests/modules/allelecounter/main.nf similarity index 84% rename from tests/software/allelecounter/main.nf rename to tests/modules/allelecounter/main.nf index 08f6129c..542529c2 100644 --- a/tests/software/allelecounter/main.nf +++ b/tests/modules/allelecounter/main.nf @@ -1,7 +1,7 @@ #!/usr/bin/env nextflow nextflow.enable.dsl = 2 -include { ALLELECOUNTER } from '../../../software/allelecounter/main.nf' addParams( options: [:] ) +include { ALLELECOUNTER } from '../../../modules/allelecounter/main.nf' addParams( options: [:] ) workflow test_allelecounter { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/allelecounter/test.yml b/tests/modules/allelecounter/test.yml similarity index 61% rename from tests/software/allelecounter/test.yml rename to tests/modules/allelecounter/test.yml index aeb64e1f..7ed71559 100644 --- a/tests/software/allelecounter/test.yml +++ b/tests/modules/allelecounter/test.yml @@ -1,5 +1,5 @@ - name: allelecounter test_allelecounter - command: nextflow run tests/software/allelecounter -entry test_allelecounter -c tests/config/nextflow.config + command: nextflow run tests/modules/allelecounter -entry test_allelecounter -c tests/config/nextflow.config tags: - allelecounter files: diff --git a/tests/software/artic/guppyplex/main.nf b/tests/modules/artic/guppyplex/main.nf similarity index 83% rename from tests/software/artic/guppyplex/main.nf rename to tests/modules/artic/guppyplex/main.nf index 7be57f2c..972a6e66 100644 --- a/tests/software/artic/guppyplex/main.nf +++ b/tests/modules/artic/guppyplex/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { ARTIC_GUPPYPLEX } from '../../../../software/artic/guppyplex/main.nf' addParams( options: [:] ) +include { ARTIC_GUPPYPLEX } from '../../../../modules/artic/guppyplex/main.nf' addParams( options: [:] ) process STAGE_FASTQ_DIR { input: diff --git a/tests/modules/artic/guppyplex/test.yml b/tests/modules/artic/guppyplex/test.yml new file mode 100644 index 00000000..133f0b15 --- /dev/null +++ b/tests/modules/artic/guppyplex/test.yml @@ -0,0 +1,7 @@ +- name: artic guppyplex + command: nextflow run tests/modules/artic/guppyplex -entry test_artic_guppyplex -c tests/config/nextflow.config + tags: + - artic + - artic/guppyplex + files: + - path: output/artic/test.fastq.gz diff --git a/tests/software/artic/minion/main.nf b/tests/modules/artic/minion/main.nf similarity index 81% rename from tests/software/artic/minion/main.nf rename to tests/modules/artic/minion/main.nf index 3883fb44..f4993289 100644 --- a/tests/software/artic/minion/main.nf +++ b/tests/modules/artic/minion/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../../software/untar/main.nf' -include { ARTIC_MINION } from '../../../../software/artic/minion/main.nf' addParams( fast5_dir: true, sequencing_summary: true, artic_minion_medaka_model:false ) +include { UNTAR } from '../../../../modules/untar/main.nf' +include { ARTIC_MINION } from '../../../../modules/artic/minion/main.nf' addParams( fast5_dir: true, sequencing_summary: true, artic_minion_medaka_model:false ) workflow test_artic_minion { diff --git a/tests/software/artic/minion/test.yml b/tests/modules/artic/minion/test.yml similarity index 99% rename from tests/software/artic/minion/test.yml rename to tests/modules/artic/minion/test.yml index 4d1c3bd4..b3c5f0f1 100644 --- a/tests/software/artic/minion/test.yml +++ b/tests/modules/artic/minion/test.yml @@ -1,5 +1,5 @@ - name: artic minion - command: nextflow run tests/software/artic/minion -entry test_artic_minion -c tests/config/nextflow.config + command: nextflow run tests/modules/artic/minion -entry test_artic_minion -c tests/config/nextflow.config tags: - artic - artic/minion diff --git a/tests/software/bandage/image/main.nf b/tests/modules/bandage/image/main.nf similarity index 78% rename from tests/software/bandage/image/main.nf rename to tests/modules/bandage/image/main.nf index 555dae6b..becfb450 100644 --- a/tests/software/bandage/image/main.nf +++ b/tests/modules/bandage/image/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BANDAGE_IMAGE } from '../../../../software/bandage/image/main.nf' addParams( options: [:] ) +include { BANDAGE_IMAGE } from '../../../../modules/bandage/image/main.nf' addParams( options: [:] ) workflow test_bandage_image { input = [ [ id:'B-3106' ], // meta map diff --git a/tests/software/bandage/image/test.yml b/tests/modules/bandage/image/test.yml similarity index 56% rename from tests/software/bandage/image/test.yml rename to tests/modules/bandage/image/test.yml index 404edf62..437eca05 100644 --- a/tests/software/bandage/image/test.yml +++ b/tests/modules/bandage/image/test.yml @@ -1,5 +1,5 @@ - name: bandage image - command: nextflow run ./tests/software/bandage/image -entry test_bandage_image -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bandage/image -entry test_bandage_image -c tests/config/nextflow.config tags: - bandage - bandage/image diff --git a/tests/software/bbmap/bbduk/main.nf b/tests/modules/bbmap/bbduk/main.nf similarity index 91% rename from tests/software/bbmap/bbduk/main.nf rename to tests/modules/bbmap/bbduk/main.nf index dffd6ee4..911ca391 100644 --- a/tests/software/bbmap/bbduk/main.nf +++ b/tests/modules/bbmap/bbduk/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BBMAP_BBDUK } from '../../../../software/bbmap/bbduk/main.nf' addParams( options: [ 'args' : 'trimq=10 qtrim=r', 'suffix' : '.trim' ] ) +include { BBMAP_BBDUK } from '../../../../modules/bbmap/bbduk/main.nf' addParams( options: [ 'args' : 'trimq=10 qtrim=r', 'suffix' : '.trim' ] ) workflow test_bbmap_bbduk_single_end { diff --git a/tests/software/bbmap/bbduk/test.yml b/tests/modules/bbmap/bbduk/test.yml similarity index 73% rename from tests/software/bbmap/bbduk/test.yml rename to tests/modules/bbmap/bbduk/test.yml index ddb6216b..4d2b8604 100644 --- a/tests/software/bbmap/bbduk/test.yml +++ b/tests/modules/bbmap/bbduk/test.yml @@ -1,5 +1,5 @@ - name: bbmap bbduk test_bbmap_bbduk_single_end - command: nextflow run tests/software/bbmap/bbduk -entry test_bbmap_bbduk_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/bbmap/bbduk -entry test_bbmap_bbduk_single_end -c tests/config/nextflow.config tags: - bbmap/bbduk files: @@ -10,7 +10,7 @@ md5sum: a87d0cbd5ced7df8bf1751e4cb407482 - name: bbmap bbduk test_bbmap_bbduk_paired_end - command: nextflow run tests/software/bbmap/bbduk -entry test_bbmap_bbduk_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/bbmap/bbduk -entry test_bbmap_bbduk_paired_end -c tests/config/nextflow.config tags: - bbmap/bbduk files: @@ -23,7 +23,7 @@ md5sum: 406e068fbe198f02b48e7e210cc0c69f - name: bbmap bbduk test_bbmap_bbduk_se_ref - command: nextflow run tests/software/bbmap/bbduk -entry test_bbmap_bbduk_se_ref -c tests/config/nextflow.config + command: nextflow run tests/modules/bbmap/bbduk -entry test_bbmap_bbduk_se_ref -c tests/config/nextflow.config tags: - bbmap/bbduk files: @@ -34,7 +34,7 @@ md5sum: 3970e82605c7d109bb348fc94e9eecc0 - name: bbmap bbduk test_bbmap_bbduk_pe_ref - command: nextflow run tests/software/bbmap/bbduk -entry test_bbmap_bbduk_pe_ref -c tests/config/nextflow.config + command: nextflow run tests/modules/bbmap/bbduk -entry test_bbmap_bbduk_pe_ref -c tests/config/nextflow.config tags: - bbmap/bbduk files: diff --git a/tests/software/bcftools/consensus/main.nf b/tests/modules/bcftools/consensus/main.nf similarity index 81% rename from tests/software/bcftools/consensus/main.nf rename to tests/modules/bcftools/consensus/main.nf index 822b6d57..13f7b39e 100644 --- a/tests/software/bcftools/consensus/main.nf +++ b/tests/modules/bcftools/consensus/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BCFTOOLS_CONSENSUS } from '../../../../software/bcftools/consensus/main.nf' addParams( options: [:] ) +include { BCFTOOLS_CONSENSUS } from '../../../../modules/bcftools/consensus/main.nf' addParams( options: [:] ) workflow test_bcftools_consensus { input = [ [ id:'test' ], // meta map diff --git a/tests/software/bcftools/consensus/test.yml b/tests/modules/bcftools/consensus/test.yml similarity index 61% rename from tests/software/bcftools/consensus/test.yml rename to tests/modules/bcftools/consensus/test.yml index f36802a6..b3760fcd 100644 --- a/tests/software/bcftools/consensus/test.yml +++ b/tests/modules/bcftools/consensus/test.yml @@ -1,5 +1,5 @@ - name: bcftools consensus test_bcftools_consensus - command: nextflow run tests/software/bcftools/consensus -entry test_bcftools_consensus -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/consensus -entry test_bcftools_consensus -c tests/config/nextflow.config tags: - bcftools/consensus - bcftools diff --git a/tests/software/bcftools/filter/main.nf b/tests/modules/bcftools/filter/main.nf similarity index 72% rename from tests/software/bcftools/filter/main.nf rename to tests/modules/bcftools/filter/main.nf index d36811a2..bd419e3a 100644 --- a/tests/software/bcftools/filter/main.nf +++ b/tests/modules/bcftools/filter/main.nf @@ -3,7 +3,7 @@ nextflow.enable.dsl = 2 //keep --no-verson argument, otherwise md5 will change on each execution -include { BCFTOOLS_FILTER } from '../../../../software/bcftools/filter/main.nf' addParams( options: ['args': '--no-version'] ) +include { BCFTOOLS_FILTER } from '../../../../modules/bcftools/filter/main.nf' addParams( options: ['args': '--no-version'] ) workflow test_bcftools_filter { input = [ [ id:'test' ], // meta map diff --git a/tests/software/bcftools/filter/test.yml b/tests/modules/bcftools/filter/test.yml similarity index 61% rename from tests/software/bcftools/filter/test.yml rename to tests/modules/bcftools/filter/test.yml index f8bff320..a998f441 100644 --- a/tests/software/bcftools/filter/test.yml +++ b/tests/modules/bcftools/filter/test.yml @@ -1,5 +1,5 @@ - name: bcftools filter test_bcftools_filter - command: nextflow run tests/software/bcftools/filter -entry test_bcftools_filter -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/filter -entry test_bcftools_filter -c tests/config/nextflow.config tags: - bcftools/filter - bcftools diff --git a/tests/software/bcftools/isec/main.nf b/tests/modules/bcftools/isec/main.nf similarity index 79% rename from tests/software/bcftools/isec/main.nf rename to tests/modules/bcftools/isec/main.nf index aee566a9..1b0c2c07 100644 --- a/tests/software/bcftools/isec/main.nf +++ b/tests/modules/bcftools/isec/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BCFTOOLS_ISEC } from '../../../../software/bcftools/isec/main.nf' addParams( options: ['args': '--nfiles +2 --output-type z --no-version'] ) +include { BCFTOOLS_ISEC } from '../../../../modules/bcftools/isec/main.nf' addParams( options: ['args': '--nfiles +2 --output-type z --no-version'] ) workflow test_bcftools_isec { input = [ [ id:'test' ], // meta map diff --git a/tests/software/bcftools/isec/test.yml b/tests/modules/bcftools/isec/test.yml similarity index 85% rename from tests/software/bcftools/isec/test.yml rename to tests/modules/bcftools/isec/test.yml index 7aa1ffa0..92186c89 100644 --- a/tests/software/bcftools/isec/test.yml +++ b/tests/modules/bcftools/isec/test.yml @@ -1,5 +1,5 @@ - name: bcftools isec test_bcftools_isec - command: nextflow run tests/software/bcftools/isec -entry test_bcftools_isec -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/isec -entry test_bcftools_isec -c tests/config/nextflow.config tags: - bcftools - bcftools/isec diff --git a/tests/software/bcftools/merge/main.nf b/tests/modules/bcftools/merge/main.nf similarity index 82% rename from tests/software/bcftools/merge/main.nf rename to tests/modules/bcftools/merge/main.nf index 63c0623e..a672a9a7 100644 --- a/tests/software/bcftools/merge/main.nf +++ b/tests/modules/bcftools/merge/main.nf @@ -3,7 +3,7 @@ nextflow.enable.dsl = 2 //keep --no-verson argument, otherwise md5 will change on each execution -include { BCFTOOLS_MERGE } from '../../../../software/bcftools/merge/main.nf' addParams( options: ['args': '--force-samples --no-version'] ) +include { BCFTOOLS_MERGE } from '../../../../modules/bcftools/merge/main.nf' addParams( options: ['args': '--force-samples --no-version'] ) workflow test_bcftools_merge { input = [ [ id:'test' ], // meta map diff --git a/tests/software/bcftools/merge/test.yml b/tests/modules/bcftools/merge/test.yml similarity index 61% rename from tests/software/bcftools/merge/test.yml rename to tests/modules/bcftools/merge/test.yml index 88b826a7..d3cdd74a 100644 --- a/tests/software/bcftools/merge/test.yml +++ b/tests/modules/bcftools/merge/test.yml @@ -1,5 +1,5 @@ - name: bcftools merge test_bcftools_merge - command: nextflow run tests/software/bcftools/merge -entry test_bcftools_merge -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/merge -entry test_bcftools_merge -c tests/config/nextflow.config tags: - bcftools/merge - bcftools diff --git a/tests/software/bcftools/mpileup/main.nf b/tests/modules/bcftools/mpileup/main.nf similarity index 76% rename from tests/software/bcftools/mpileup/main.nf rename to tests/modules/bcftools/mpileup/main.nf index 8952b2dd..2225c5e0 100644 --- a/tests/software/bcftools/mpileup/main.nf +++ b/tests/modules/bcftools/mpileup/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BCFTOOLS_MPILEUP } from '../../../../software/bcftools/mpileup/main.nf' addParams( options: ['args2': '--no-version --ploidy 1 --multiallelic-caller', +include { BCFTOOLS_MPILEUP } from '../../../../modules/bcftools/mpileup/main.nf' addParams( options: ['args2': '--no-version --ploidy 1 --multiallelic-caller', 'args3': '--no-version' ] ) workflow test_bcftools_mpileup { diff --git a/tests/software/bcftools/mpileup/test.yml b/tests/modules/bcftools/mpileup/test.yml similarity index 76% rename from tests/software/bcftools/mpileup/test.yml rename to tests/modules/bcftools/mpileup/test.yml index 994acf64..c0c8d6a6 100644 --- a/tests/software/bcftools/mpileup/test.yml +++ b/tests/modules/bcftools/mpileup/test.yml @@ -1,5 +1,5 @@ - name: bcftools mpileup test_bcftools_mpileup - command: nextflow run tests/software/bcftools/mpileup -entry test_bcftools_mpileup -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/mpileup -entry test_bcftools_mpileup -c tests/config/nextflow.config tags: - bcftools/mpileup - bcftools diff --git a/tests/software/bcftools/stats/main.nf b/tests/modules/bcftools/stats/main.nf similarity index 71% rename from tests/software/bcftools/stats/main.nf rename to tests/modules/bcftools/stats/main.nf index c591218d..4039c080 100644 --- a/tests/software/bcftools/stats/main.nf +++ b/tests/modules/bcftools/stats/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BCFTOOLS_STATS } from '../../../../software/bcftools/stats/main.nf' addParams( options: [:] ) +include { BCFTOOLS_STATS } from '../../../../modules/bcftools/stats/main.nf' addParams( options: [:] ) workflow test_bcftools_stats { input = [ [ id:'test' ], // meta map diff --git a/tests/software/bcftools/stats/test.yml b/tests/modules/bcftools/stats/test.yml similarity index 63% rename from tests/software/bcftools/stats/test.yml rename to tests/modules/bcftools/stats/test.yml index 02c2e073..f863bfca 100644 --- a/tests/software/bcftools/stats/test.yml +++ b/tests/modules/bcftools/stats/test.yml @@ -1,5 +1,5 @@ - name: bcftools stats test_bcftools_stats - command: nextflow run tests/software/bcftools/stats -entry test_bcftools_stats -c tests/config/nextflow.config + command: nextflow run tests/modules/bcftools/stats -entry test_bcftools_stats -c tests/config/nextflow.config tags: - bcftools - bcftools/stats diff --git a/tests/software/bedtools/bamtobed/main.nf b/tests/modules/bedtools/bamtobed/main.nf similarity index 71% rename from tests/software/bedtools/bamtobed/main.nf rename to tests/modules/bedtools/bamtobed/main.nf index 5909e014..41cf460a 100644 --- a/tests/software/bedtools/bamtobed/main.nf +++ b/tests/modules/bedtools/bamtobed/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_BAMTOBED } from '../../../../software/bedtools/bamtobed/main.nf' addParams( options: [:] ) +include { BEDTOOLS_BAMTOBED } from '../../../../modules/bedtools/bamtobed/main.nf' addParams( options: [:] ) workflow test_bedtools_bamtobed { input = [ [ id:'test'], //meta map diff --git a/tests/software/bedtools/bamtobed/test.yml b/tests/modules/bedtools/bamtobed/test.yml similarity index 58% rename from tests/software/bedtools/bamtobed/test.yml rename to tests/modules/bedtools/bamtobed/test.yml index 1e98aee7..106d125d 100644 --- a/tests/software/bedtools/bamtobed/test.yml +++ b/tests/modules/bedtools/bamtobed/test.yml @@ -1,5 +1,5 @@ - name: bedtools bamtobed - command: nextflow run ./tests/software/bedtools/bamtobed -entry test_bedtools_bamtobed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/bamtobed -entry test_bedtools_bamtobed -c tests/config/nextflow.config tags: - bedtools - bedtools/bamtobed diff --git a/tests/software/bedtools/complement/main.nf b/tests/modules/bedtools/complement/main.nf similarity index 73% rename from tests/software/bedtools/complement/main.nf rename to tests/modules/bedtools/complement/main.nf index a20d73ec..6456fe60 100644 --- a/tests/software/bedtools/complement/main.nf +++ b/tests/modules/bedtools/complement/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_COMPLEMENT } from '../../../../software/bedtools/complement/main.nf' addParams( options: [suffix: '_out'] ) +include { BEDTOOLS_COMPLEMENT } from '../../../../modules/bedtools/complement/main.nf' addParams( options: [suffix: '_out'] ) workflow test_bedtools_complement { input = [ [ id:'test'], diff --git a/tests/software/bedtools/complement/test.yml b/tests/modules/bedtools/complement/test.yml similarity index 58% rename from tests/software/bedtools/complement/test.yml rename to tests/modules/bedtools/complement/test.yml index 4fa55c13..2ebc6419 100644 --- a/tests/software/bedtools/complement/test.yml +++ b/tests/modules/bedtools/complement/test.yml @@ -1,5 +1,5 @@ - name: bedtools complement - command: nextflow run ./tests/software/bedtools/complement -entry test_bedtools_complement -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/complement -entry test_bedtools_complement -c tests/config/nextflow.config tags: - bedtools - bedtools/complement diff --git a/tests/software/bedtools/genomecov/main.nf b/tests/modules/bedtools/genomecov/main.nf similarity index 50% rename from tests/software/bedtools/genomecov/main.nf rename to tests/modules/bedtools/genomecov/main.nf index 69cb40ee..431a42bd 100644 --- a/tests/software/bedtools/genomecov/main.nf +++ b/tests/modules/bedtools/genomecov/main.nf @@ -2,12 +2,13 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_GENOMECOV } from '../../../../software/bedtools/genomecov/main.nf' addParams( options: [suffix: '_out'] ) +include { BEDTOOLS_GENOMECOV } from '../../../../modules/bedtools/genomecov/main.nf' addParams( options: [suffix: '_out'] ) workflow test_bedtools_genomecov { - input = [ [ id:'test'], - file(params.test_data['sarscov2']['illumina']['test_paired_end_bam'], checkIfExists: true) - ] + input = [ + [ id:'test'], + file(params.test_data['sarscov2']['illumina']['test_paired_end_bam'], checkIfExists: true) + ] sizes = file('dummy_chromosome_sizes') extension = 'txt' @@ -16,9 +17,10 @@ workflow test_bedtools_genomecov { } workflow test_bedtools_genomecov_nonbam { - input = [ [ id:'test'], - file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) - ] + input = [ + [ id:'test'], + file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) + ] sizes = file(params.test_data['sarscov2']['genome']['genome_sizes'], checkIfExists: true) extension = 'txt' diff --git a/tests/software/bedtools/genomecov/test.yml b/tests/modules/bedtools/genomecov/test.yml similarity index 61% rename from tests/software/bedtools/genomecov/test.yml rename to tests/modules/bedtools/genomecov/test.yml index 327cd457..c1f2080a 100644 --- a/tests/software/bedtools/genomecov/test.yml +++ b/tests/modules/bedtools/genomecov/test.yml @@ -1,5 +1,5 @@ - name: bedtools genomecov test_bedtools_genomecov - command: nextflow run tests/software/bedtools/genomecov -entry test_bedtools_genomecov -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/genomecov -entry test_bedtools_genomecov -c tests/config/nextflow.config tags: - bedtools - bedtools/genomecov @@ -8,7 +8,7 @@ md5sum: 66083198daca6c001d328ba9616e9b53 - name: bedtools genomecov test_bedtools_genomecov_nonbam - command: nextflow run tests/software/bedtools/genomecov -entry test_bedtools_genomecov_nonbam -c tests/config/nextflow.config + command: nextflow run tests/modules/bedtools/genomecov -entry test_bedtools_genomecov_nonbam -c tests/config/nextflow.config tags: - bedtools - bedtools/genomecov diff --git a/tests/software/bedtools/getfasta/main.nf b/tests/modules/bedtools/getfasta/main.nf similarity index 73% rename from tests/software/bedtools/getfasta/main.nf rename to tests/modules/bedtools/getfasta/main.nf index 641a4cba..194597ae 100644 --- a/tests/software/bedtools/getfasta/main.nf +++ b/tests/modules/bedtools/getfasta/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_GETFASTA } from '../../../../software/bedtools/getfasta/main.nf' addParams( options: [:] ) +include { BEDTOOLS_GETFASTA } from '../../../../modules/bedtools/getfasta/main.nf' addParams( options: [:] ) workflow test_bedtools_getfasta { bed = file(params.test_data['sarscov2']['genome']['test_bed'], checkIfExists: true) diff --git a/tests/software/bedtools/getfasta/test.yml b/tests/modules/bedtools/getfasta/test.yml similarity index 57% rename from tests/software/bedtools/getfasta/test.yml rename to tests/modules/bedtools/getfasta/test.yml index 1db28aa0..adf10da5 100644 --- a/tests/software/bedtools/getfasta/test.yml +++ b/tests/modules/bedtools/getfasta/test.yml @@ -1,5 +1,5 @@ - name: bedtools getfasta - command: nextflow run ./tests/software/bedtools/getfasta -entry test_bedtools_getfasta -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/getfasta -entry test_bedtools_getfasta -c tests/config/nextflow.config tags: - bedtools - bedtools/getfasta diff --git a/tests/modules/bedtools/intersect/main.nf b/tests/modules/bedtools/intersect/main.nf new file mode 100644 index 00000000..73a9b30c --- /dev/null +++ b/tests/modules/bedtools/intersect/main.nf @@ -0,0 +1,29 @@ +#!/usr/bin/env nextflow + +nextflow.enable.dsl = 2 + +include { BEDTOOLS_INTERSECT } from '../../../../modules/bedtools/intersect/main.nf' addParams( options: [suffix: '_out'] ) + +workflow test_bedtools_intersect { + input = [ + [ id:'test' ], + file(params.test_data['sarscov2']['genome']['test_bed'], checkIfExists: true), + file(params.test_data['sarscov2']['genome']['test2_bed'], checkIfExists: true) + ] + + extension = 'bed' + + BEDTOOLS_INTERSECT ( input, extension ) +} + +workflow test_bedtools_intersect_bam { + input = [ + [ id:'test' ], + file(params.test_data['sarscov2']['illumina']['test_paired_end_bam'], checkIfExists: true), + file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) + ] + + extension = 'bam' + + BEDTOOLS_INTERSECT ( input, extension ) +} diff --git a/tests/software/bedtools/intersect/test.yml b/tests/modules/bedtools/intersect/test.yml similarity index 61% rename from tests/software/bedtools/intersect/test.yml rename to tests/modules/bedtools/intersect/test.yml index 9e11d314..c8c3ad6e 100644 --- a/tests/software/bedtools/intersect/test.yml +++ b/tests/modules/bedtools/intersect/test.yml @@ -1,5 +1,5 @@ - name: bedtools intersect test_bedtools_intersect - command: nextflow run tests/software/bedtools/intersect -entry test_bedtools_intersect -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/intersect -entry test_bedtools_intersect -c tests/config/nextflow.config tags: - bedtools - bedtools/intersect @@ -8,7 +8,7 @@ md5sum: afcbf01c2f2013aad71dbe8e34f2c15c - name: bedtools intersect test_bedtools_intersect_bam - command: nextflow run tests/software/bedtools/intersect -entry test_bedtools_intersect_bam -c tests/config/nextflow.config + command: nextflow run tests/modules/bedtools/intersect -entry test_bedtools_intersect_bam -c tests/config/nextflow.config tags: - bedtools - bedtools/intersect diff --git a/tests/software/bedtools/maskfasta/main.nf b/tests/modules/bedtools/maskfasta/main.nf similarity index 76% rename from tests/software/bedtools/maskfasta/main.nf rename to tests/modules/bedtools/maskfasta/main.nf index eab7d71f..8c30fbdc 100644 --- a/tests/software/bedtools/maskfasta/main.nf +++ b/tests/modules/bedtools/maskfasta/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_MASKFASTA } from '../../../../software/bedtools/maskfasta/main.nf' addParams( options: [:] ) +include { BEDTOOLS_MASKFASTA } from '../../../../modules/bedtools/maskfasta/main.nf' addParams( options: [:] ) workflow test_bedtools_maskfasta { bed = [ [ id:'test'], diff --git a/tests/software/bedtools/maskfasta/test.yml b/tests/modules/bedtools/maskfasta/test.yml similarity index 57% rename from tests/software/bedtools/maskfasta/test.yml rename to tests/modules/bedtools/maskfasta/test.yml index 24cd79b2..f536a6eb 100644 --- a/tests/software/bedtools/maskfasta/test.yml +++ b/tests/modules/bedtools/maskfasta/test.yml @@ -1,5 +1,5 @@ - name: bedtools maskfasta - command: nextflow run ./tests/software/bedtools/maskfasta -entry test_bedtools_maskfasta -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/maskfasta -entry test_bedtools_maskfasta -c tests/config/nextflow.config tags: - bedtools - bedtools/maskfasta diff --git a/tests/software/bedtools/merge/main.nf b/tests/modules/bedtools/merge/main.nf similarity index 68% rename from tests/software/bedtools/merge/main.nf rename to tests/modules/bedtools/merge/main.nf index 0407717e..f11b804a 100644 --- a/tests/software/bedtools/merge/main.nf +++ b/tests/modules/bedtools/merge/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_MERGE } from '../../../../software/bedtools/merge/main.nf' addParams( options: [suffix: '_out'] ) +include { BEDTOOLS_MERGE } from '../../../../modules/bedtools/merge/main.nf' addParams( options: [suffix: '_out'] ) workflow test_bedtools_merge { input = [ [ id:'test'], diff --git a/tests/software/bedtools/merge/test.yml b/tests/modules/bedtools/merge/test.yml similarity index 59% rename from tests/software/bedtools/merge/test.yml rename to tests/modules/bedtools/merge/test.yml index 83915d6e..62bc6860 100644 --- a/tests/software/bedtools/merge/test.yml +++ b/tests/modules/bedtools/merge/test.yml @@ -1,5 +1,5 @@ - name: bedtools merge - command: nextflow run ./tests/software/bedtools/merge -entry test_bedtools_merge -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/merge -entry test_bedtools_merge -c tests/config/nextflow.config tags: - bedtools - bedtools/merge diff --git a/tests/software/bedtools/slop/main.nf b/tests/modules/bedtools/slop/main.nf similarity index 72% rename from tests/software/bedtools/slop/main.nf rename to tests/modules/bedtools/slop/main.nf index d9e4a7d3..47c19781 100644 --- a/tests/software/bedtools/slop/main.nf +++ b/tests/modules/bedtools/slop/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_SLOP } from '../../../../software/bedtools/slop/main.nf' addParams( options: [args: '-l 15 -r 30', suffix: '_out'] ) +include { BEDTOOLS_SLOP } from '../../../../modules/bedtools/slop/main.nf' addParams( options: [args: '-l 15 -r 30', suffix: '_out'] ) workflow test_bedtools_slop { input = [ [ id:'test'], diff --git a/tests/software/bedtools/slop/test.yml b/tests/modules/bedtools/slop/test.yml similarity index 59% rename from tests/software/bedtools/slop/test.yml rename to tests/modules/bedtools/slop/test.yml index 5368c4e2..859b569e 100644 --- a/tests/software/bedtools/slop/test.yml +++ b/tests/modules/bedtools/slop/test.yml @@ -1,5 +1,5 @@ - name: bedtools slop - command: nextflow run ./tests/software/bedtools/slop -entry test_bedtools_slop -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/slop -entry test_bedtools_slop -c tests/config/nextflow.config tags: - bedtools - bedtools/slop diff --git a/tests/software/bedtools/sort/main.nf b/tests/modules/bedtools/sort/main.nf similarity index 68% rename from tests/software/bedtools/sort/main.nf rename to tests/modules/bedtools/sort/main.nf index 01f3f3c8..ad1a3df4 100644 --- a/tests/software/bedtools/sort/main.nf +++ b/tests/modules/bedtools/sort/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BEDTOOLS_SORT } from '../../../../software/bedtools/sort/main.nf' addParams( options: [suffix: '_out'] ) +include { BEDTOOLS_SORT } from '../../../../modules/bedtools/sort/main.nf' addParams( options: [suffix: '_out'] ) workflow test_bedtools_sort { input = [ [ id:'test'], diff --git a/tests/software/bedtools/sort/test.yml b/tests/modules/bedtools/sort/test.yml similarity index 59% rename from tests/software/bedtools/sort/test.yml rename to tests/modules/bedtools/sort/test.yml index 7d45dca0..ceb25f7d 100644 --- a/tests/software/bedtools/sort/test.yml +++ b/tests/modules/bedtools/sort/test.yml @@ -1,5 +1,5 @@ - name: bedtools sort - command: nextflow run ./tests/software/bedtools/sort -entry test_bedtools_sort -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bedtools/sort -entry test_bedtools_sort -c tests/config/nextflow.config tags: - bedtools - bedtools/sort diff --git a/tests/modules/bedtools/subtract/main.nf b/tests/modules/bedtools/subtract/main.nf new file mode 100644 index 00000000..9997f08c --- /dev/null +++ b/tests/modules/bedtools/subtract/main.nf @@ -0,0 +1,15 @@ +#!/usr/bin/env nextflow + +nextflow.enable.dsl = 2 + +include { BEDTOOLS_SUBTRACT } from '../../../../modules/bedtools/subtract/main.nf' addParams( options: [:] ) + +workflow test_bedtools_subtract { + input = [ + [ id:'test_subtract' ], + file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true), + file(params.test_data['sarscov2']['genome']['test_bed'], checkIfExists: true) + ] + + BEDTOOLS_SUBTRACT ( input ) +} diff --git a/tests/modules/bedtools/subtract/test.yml b/tests/modules/bedtools/subtract/test.yml new file mode 100644 index 00000000..fd8660fc --- /dev/null +++ b/tests/modules/bedtools/subtract/test.yml @@ -0,0 +1,8 @@ +- name: bedtools subtract + command: nextflow run ./tests/modules/bedtools/subtract -entry test_bedtools_subtract -c tests/config/nextflow.config + tags: + - bedtools + - bedtools/subtract + files: + - path: output/bedtools/test_subtract.bed + md5sum: 63513c4dc69e8b481ce3b4b2a9f24259 diff --git a/tests/software/bismark/align/main.nf b/tests/modules/bismark/align/main.nf similarity index 81% rename from tests/software/bismark/align/main.nf rename to tests/modules/bismark/align/main.nf index 330da607..1f1fcdce 100644 --- a/tests/software/bismark/align/main.nf +++ b/tests/modules/bismark/align/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { BISMARK_GENOMEPREPARATION } from '../../../../software/bismark/genomepreparation/main.nf' addParams( options: [:] ) -include { BISMARK_ALIGN as BISMARK_ALIGN_SE } from '../../../../software/bismark/align/main.nf' addParams( options: [ publish_dir:'test_single_end' ] ) -include { BISMARK_ALIGN as BISMARK_ALIGN_PE } from '../../../../software/bismark/align/main.nf' addParams( options: [ publish_dir:'test_paired_end' ] ) +include { BISMARK_GENOMEPREPARATION } from '../../../../modules/bismark/genomepreparation/main.nf' addParams( options: [:] ) +include { BISMARK_ALIGN as BISMARK_ALIGN_SE } from '../../../../modules/bismark/align/main.nf' addParams( options: [ publish_dir:'test_single_end' ] ) +include { BISMARK_ALIGN as BISMARK_ALIGN_PE } from '../../../../modules/bismark/align/main.nf' addParams( options: [ publish_dir:'test_paired_end' ] ) // // Test with single-end data diff --git a/tests/software/bismark/align/test.yml b/tests/modules/bismark/align/test.yml similarity index 70% rename from tests/software/bismark/align/test.yml rename to tests/modules/bismark/align/test.yml index b7c20fe5..662a4aef 100644 --- a/tests/software/bismark/align/test.yml +++ b/tests/modules/bismark/align/test.yml @@ -1,5 +1,5 @@ - name: Run bismark align single-end test workflow - command: nextflow run ./tests/software/bismark/align -entry test_bismark_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/align -entry test_bismark_align_single_end -c tests/config/nextflow.config tags: - bismark - bismark/align @@ -9,7 +9,7 @@ - path: output/test_single_end/test.methylated_1_bismark_bt2_SE_report.txt - name: Run bismark align paired-end test workflow - command: nextflow run ./tests/software/bismark/align -entry test_bismark_align_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/align -entry test_bismark_align_paired_end -c tests/config/nextflow.config tags: - bismark - bismark/align diff --git a/tests/software/bismark/deduplicate/main.nf b/tests/modules/bismark/deduplicate/main.nf similarity index 73% rename from tests/software/bismark/deduplicate/main.nf rename to tests/modules/bismark/deduplicate/main.nf index acb6e261..fc44745c 100644 --- a/tests/software/bismark/deduplicate/main.nf +++ b/tests/modules/bismark/deduplicate/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BISMARK_DEDUPLICATE } from '../../../../software/bismark/deduplicate/main.nf' addParams( options: [:] ) +include { BISMARK_DEDUPLICATE } from '../../../../modules/bismark/deduplicate/main.nf' addParams( options: [:] ) workflow test_bismark_deduplicate { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/bismark/deduplicate/test.yml b/tests/modules/bismark/deduplicate/test.yml similarity index 73% rename from tests/software/bismark/deduplicate/test.yml rename to tests/modules/bismark/deduplicate/test.yml index 0e9c4bc7..b0fb858c 100644 --- a/tests/software/bismark/deduplicate/test.yml +++ b/tests/modules/bismark/deduplicate/test.yml @@ -1,5 +1,5 @@ - name: Run bismark deduplicate test workflow - command: nextflow run ./tests/software/bismark/deduplicate -entry test_bismark_deduplicate -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/deduplicate -entry test_bismark_deduplicate -c tests/config/nextflow.config tags: - bismark - bismark/deduplicate diff --git a/tests/software/bismark/genomepreparation/main.nf b/tests/modules/bismark/genomepreparation/main.nf similarity index 64% rename from tests/software/bismark/genomepreparation/main.nf rename to tests/modules/bismark/genomepreparation/main.nf index efbecfc6..ab847171 100644 --- a/tests/software/bismark/genomepreparation/main.nf +++ b/tests/modules/bismark/genomepreparation/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BISMARK_GENOMEPREPARATION } from '../../../../software/bismark/genomepreparation/main.nf' addParams( options: [:] ) +include { BISMARK_GENOMEPREPARATION } from '../../../../modules/bismark/genomepreparation/main.nf' addParams( options: [:] ) workflow test_bismark_genomepreparation { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bismark/genomepreparation/test.yml b/tests/modules/bismark/genomepreparation/test.yml similarity index 93% rename from tests/software/bismark/genomepreparation/test.yml rename to tests/modules/bismark/genomepreparation/test.yml index 592b0887..5ce272c6 100644 --- a/tests/software/bismark/genomepreparation/test.yml +++ b/tests/modules/bismark/genomepreparation/test.yml @@ -1,5 +1,5 @@ - name: Run bismark_genomepreparation test workflow - command: nextflow run ./tests/software/bismark/genomepreparation -entry test_bismark_genomepreparation -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/genomepreparation -entry test_bismark_genomepreparation -c tests/config/nextflow.config tags: - bismark - bismark/genomepreparation diff --git a/tests/software/bismark/methylationextractor/main.nf b/tests/modules/bismark/methylationextractor/main.nf similarity index 65% rename from tests/software/bismark/methylationextractor/main.nf rename to tests/modules/bismark/methylationextractor/main.nf index e6eb270d..0b3f77a1 100644 --- a/tests/software/bismark/methylationextractor/main.nf +++ b/tests/modules/bismark/methylationextractor/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BISMARK_GENOMEPREPARATION } from '../../../../software/bismark/genomepreparation/main.nf' addParams( options: [:] ) -include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../software/bismark/methylationextractor/main.nf' addParams( options: [:] ) +include { BISMARK_GENOMEPREPARATION } from '../../../../modules/bismark/genomepreparation/main.nf' addParams( options: [:] ) +include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../modules/bismark/methylationextractor/main.nf' addParams( options: [:] ) workflow test_bismark_methylationextractor { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/bismark/methylationextractor/test.yml b/tests/modules/bismark/methylationextractor/test.yml similarity index 84% rename from tests/software/bismark/methylationextractor/test.yml rename to tests/modules/bismark/methylationextractor/test.yml index fe7566f2..a64c1edf 100644 --- a/tests/software/bismark/methylationextractor/test.yml +++ b/tests/modules/bismark/methylationextractor/test.yml @@ -1,5 +1,5 @@ - name: Run bismark methylation extractor test workflow - command: nextflow run ./tests/software/bismark/methylationextractor -entry test_bismark_methylationextractor -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/methylationextractor -entry test_bismark_methylationextractor -c tests/config/nextflow.config tags: - bismark - bismark/methylationextractor diff --git a/tests/software/bismark/report/main.nf b/tests/modules/bismark/report/main.nf similarity index 59% rename from tests/software/bismark/report/main.nf rename to tests/modules/bismark/report/main.nf index 46ef00de..945d24ed 100644 --- a/tests/software/bismark/report/main.nf +++ b/tests/modules/bismark/report/main.nf @@ -2,11 +2,11 @@ nextflow.enable.dsl = 2 -include { BISMARK_GENOMEPREPARATION } from '../../../../software/bismark/genomepreparation/main.nf' addParams( options: [:] ) -include { BISMARK_ALIGN } from '../../../../software/bismark/align/main.nf' addParams( options: [:] ) -include { BISMARK_DEDUPLICATE } from '../../../../software/bismark/deduplicate/main.nf' addParams( options: [:] ) -include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../software/bismark/methylationextractor/main.nf' addParams( options: [:] ) -include { BISMARK_REPORT } from '../../../../software/bismark/report/main.nf' addParams( options: [:] ) +include { BISMARK_GENOMEPREPARATION } from '../../../../modules/bismark/genomepreparation/main.nf' addParams( options: [:] ) +include { BISMARK_ALIGN } from '../../../../modules/bismark/align/main.nf' addParams( options: [:] ) +include { BISMARK_DEDUPLICATE } from '../../../../modules/bismark/deduplicate/main.nf' addParams( options: [:] ) +include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../modules/bismark/methylationextractor/main.nf' addParams( options: [:] ) +include { BISMARK_REPORT } from '../../../../modules/bismark/report/main.nf' addParams( options: [:] ) workflow test_bismark_report { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/bismark/report/test.yml b/tests/modules/bismark/report/test.yml similarity index 67% rename from tests/software/bismark/report/test.yml rename to tests/modules/bismark/report/test.yml index 73b7d68c..7025d38c 100644 --- a/tests/software/bismark/report/test.yml +++ b/tests/modules/bismark/report/test.yml @@ -1,5 +1,5 @@ - name: Run bismark report test workflow - command: nextflow run ./tests/software/bismark/report -entry test_bismark_report -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/report -entry test_bismark_report -c tests/config/nextflow.config tags: - bismark - bismark/report diff --git a/tests/software/bismark/summary/main.nf b/tests/modules/bismark/summary/main.nf similarity index 63% rename from tests/software/bismark/summary/main.nf rename to tests/modules/bismark/summary/main.nf index 7d4cee37..4170d19a 100644 --- a/tests/software/bismark/summary/main.nf +++ b/tests/modules/bismark/summary/main.nf @@ -2,11 +2,11 @@ nextflow.enable.dsl = 2 -include { BISMARK_GENOMEPREPARATION } from '../../../../software/bismark/genomepreparation/main.nf' addParams( options: [:] ) -include { BISMARK_ALIGN } from '../../../../software/bismark/align/main.nf' addParams( options: [:] ) -include { BISMARK_DEDUPLICATE } from '../../../../software/bismark/deduplicate/main.nf' addParams( options: [:] ) -include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../software/bismark/methylationextractor/main.nf' addParams( options: [:] ) -include { BISMARK_SUMMARY } from '../../../../software/bismark/summary/main.nf' addParams( options: [:] ) +include { BISMARK_GENOMEPREPARATION } from '../../../../modules/bismark/genomepreparation/main.nf' addParams( options: [:] ) +include { BISMARK_ALIGN } from '../../../../modules/bismark/align/main.nf' addParams( options: [:] ) +include { BISMARK_DEDUPLICATE } from '../../../../modules/bismark/deduplicate/main.nf' addParams( options: [:] ) +include { BISMARK_METHYLATIONEXTRACTOR } from '../../../../modules/bismark/methylationextractor/main.nf' addParams( options: [:] ) +include { BISMARK_SUMMARY } from '../../../../modules/bismark/summary/main.nf' addParams( options: [:] ) workflow test_bismark_summary { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/bismark/summary/test.yml b/tests/modules/bismark/summary/test.yml similarity index 68% rename from tests/software/bismark/summary/test.yml rename to tests/modules/bismark/summary/test.yml index 255c355a..ee438991 100644 --- a/tests/software/bismark/summary/test.yml +++ b/tests/modules/bismark/summary/test.yml @@ -1,5 +1,5 @@ - name: Run bismark summary test workflow - command: nextflow run ./tests/software/bismark/summary -entry test_bismark_summary -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bismark/summary -entry test_bismark_summary -c tests/config/nextflow.config tags: - bismark - bismark/summary diff --git a/tests/software/blast/blastn/main.nf b/tests/modules/blast/blastn/main.nf similarity index 54% rename from tests/software/blast/blastn/main.nf rename to tests/modules/blast/blastn/main.nf index 69d41ecc..fd690dcc 100644 --- a/tests/software/blast/blastn/main.nf +++ b/tests/modules/blast/blastn/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BLAST_MAKEBLASTDB } from '../../../../software/blast/makeblastdb/main.nf' addParams( options: ['args': '-dbtype nucl'] ) -include { BLAST_BLASTN } from '../../../../software/blast/blastn/main.nf' addParams( options: [:] ) +include { BLAST_MAKEBLASTDB } from '../../../../modules/blast/makeblastdb/main.nf' addParams( options: ['args': '-dbtype nucl'] ) +include { BLAST_BLASTN } from '../../../../modules/blast/blastn/main.nf' addParams( options: [:] ) workflow test_blast_blastn { input = [ file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) ] diff --git a/tests/software/blast/blastn/test.yml b/tests/modules/blast/blastn/test.yml similarity index 88% rename from tests/software/blast/blastn/test.yml rename to tests/modules/blast/blastn/test.yml index c45ee76d..ebc03e45 100644 --- a/tests/software/blast/blastn/test.yml +++ b/tests/modules/blast/blastn/test.yml @@ -1,5 +1,5 @@ - name: blast_blastn - command: nextflow run ./tests/software/blast/blastn -entry test_blast_blastn -c tests/config/nextflow.config + command: nextflow run ./tests/modules/blast/blastn -entry test_blast_blastn -c tests/config/nextflow.config tags: - blast - blast/blastn diff --git a/tests/software/blast/makeblastdb/main.nf b/tests/modules/blast/makeblastdb/main.nf similarity index 62% rename from tests/software/blast/makeblastdb/main.nf rename to tests/modules/blast/makeblastdb/main.nf index 9cf01ef5..48b39f22 100644 --- a/tests/software/blast/makeblastdb/main.nf +++ b/tests/modules/blast/makeblastdb/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BLAST_MAKEBLASTDB } from '../../../../software/blast/makeblastdb/main.nf' addParams( options: ['args': '-dbtype nucl'] ) +include { BLAST_MAKEBLASTDB } from '../../../../modules/blast/makeblastdb/main.nf' addParams( options: ['args': '-dbtype nucl'] ) workflow test_blast_makeblastdb { input = [ file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) ] diff --git a/tests/software/blast/makeblastdb/test.yml b/tests/modules/blast/makeblastdb/test.yml similarity index 87% rename from tests/software/blast/makeblastdb/test.yml rename to tests/modules/blast/makeblastdb/test.yml index 81b06be0..c060ba59 100644 --- a/tests/software/blast/makeblastdb/test.yml +++ b/tests/modules/blast/makeblastdb/test.yml @@ -1,5 +1,5 @@ - name: blast_makeblastdb - command: nextflow run ./tests/software/blast/makeblastdb -entry test_blast_makeblastdb -c tests/config/nextflow.config + command: nextflow run ./tests/modules/blast/makeblastdb -entry test_blast_makeblastdb -c tests/config/nextflow.config tags: - blast - blast/makeblastdb diff --git a/tests/software/bowtie/align/main.nf b/tests/modules/bowtie/align/main.nf similarity index 82% rename from tests/software/bowtie/align/main.nf rename to tests/modules/bowtie/align/main.nf index 5c76f8e9..b2c8059a 100644 --- a/tests/software/bowtie/align/main.nf +++ b/tests/modules/bowtie/align/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BOWTIE_BUILD } from '../../../../software/bowtie/build/main.nf' addParams( options: [:] ) -include { BOWTIE_ALIGN } from '../../../../software/bowtie/align/main.nf' addParams( options: [:] ) +include { BOWTIE_BUILD } from '../../../../modules/bowtie/build/main.nf' addParams( options: [:] ) +include { BOWTIE_ALIGN } from '../../../../modules/bowtie/align/main.nf' addParams( options: [:] ) workflow test_bowtie_align_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/bowtie/align/test.yml b/tests/modules/bowtie/align/test.yml similarity index 86% rename from tests/software/bowtie/align/test.yml rename to tests/modules/bowtie/align/test.yml index 86b390c6..76d63d68 100644 --- a/tests/software/bowtie/align/test.yml +++ b/tests/modules/bowtie/align/test.yml @@ -1,5 +1,5 @@ - name: bowtie align single-end - command: nextflow run ./tests/software/bowtie/align -entry test_bowtie_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie/align -entry test_bowtie_align_single_end -c tests/config/nextflow.config tags: - bowtie - bowtie/align @@ -21,7 +21,7 @@ md5sum: d9b76ecf9fd0413240173273b38d8199 - name: bowtie align paired-end - command: nextflow run ./tests/software/bowtie/align -entry test_bowtie_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie/align -entry test_bowtie_align_single_end -c tests/config/nextflow.config tags: - bowtie - bowtie/align diff --git a/tests/software/bowtie/build b/tests/modules/bowtie/build similarity index 100% rename from tests/software/bowtie/build rename to tests/modules/bowtie/build diff --git a/tests/software/bowtie/build_test/main.nf b/tests/modules/bowtie/build_test/main.nf similarity index 67% rename from tests/software/bowtie/build_test/main.nf rename to tests/modules/bowtie/build_test/main.nf index beff9e1f..6796aad2 100644 --- a/tests/software/bowtie/build_test/main.nf +++ b/tests/modules/bowtie/build_test/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BOWTIE_BUILD } from '../../../../software/bowtie/build/main.nf' addParams( options: [:] ) +include { BOWTIE_BUILD } from '../../../../modules/bowtie/build/main.nf' addParams( options: [:] ) workflow test_bowtie_build { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bowtie/build_test/test.yml b/tests/modules/bowtie/build_test/test.yml similarity index 84% rename from tests/software/bowtie/build_test/test.yml rename to tests/modules/bowtie/build_test/test.yml index 2bd73b13..0ba58194 100644 --- a/tests/software/bowtie/build_test/test.yml +++ b/tests/modules/bowtie/build_test/test.yml @@ -1,5 +1,5 @@ - name: bowtie build - command: nextflow run ./tests/software/bowtie/build_test -entry test_bowtie_build -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie/build_test -entry test_bowtie_build -c tests/config/nextflow.config tags: - bowtie - bowtie/build diff --git a/tests/software/bowtie2/align/main.nf b/tests/modules/bowtie2/align/main.nf similarity index 82% rename from tests/software/bowtie2/align/main.nf rename to tests/modules/bowtie2/align/main.nf index 3f4883b7..20602f30 100644 --- a/tests/software/bowtie2/align/main.nf +++ b/tests/modules/bowtie2/align/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BOWTIE2_BUILD } from '../../../../software/bowtie2/build/main.nf' addParams( options: [:] ) -include { BOWTIE2_ALIGN } from '../../../../software/bowtie2/align/main.nf' addParams( options: [:] ) +include { BOWTIE2_BUILD } from '../../../../modules/bowtie2/build/main.nf' addParams( options: [:] ) +include { BOWTIE2_ALIGN } from '../../../../modules/bowtie2/align/main.nf' addParams( options: [:] ) workflow test_bowtie2_align_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/bowtie2/align/test.yml b/tests/modules/bowtie2/align/test.yml similarity index 85% rename from tests/software/bowtie2/align/test.yml rename to tests/modules/bowtie2/align/test.yml index c0e94b5d..05952b76 100644 --- a/tests/software/bowtie2/align/test.yml +++ b/tests/modules/bowtie2/align/test.yml @@ -1,5 +1,5 @@ - name: bowtie2 align single-end - command: nextflow run ./tests/software/bowtie2/align -entry test_bowtie2_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie2/align -entry test_bowtie2_align_single_end -c tests/config/nextflow.config tags: - bowtie2 - bowtie2/align @@ -20,7 +20,7 @@ md5sum: e3b4ef343dea4dd571642010a7d09597 - name: bowtie2 align paired-end - command: nextflow run ./tests/software/bowtie2/align -entry test_bowtie2_align_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie2/align -entry test_bowtie2_align_paired_end -c tests/config/nextflow.config tags: - bowtie2 - bowtie2/align diff --git a/tests/software/bowtie2/build b/tests/modules/bowtie2/build similarity index 100% rename from tests/software/bowtie2/build rename to tests/modules/bowtie2/build diff --git a/tests/software/bowtie2/build_test/main.nf b/tests/modules/bowtie2/build_test/main.nf similarity index 66% rename from tests/software/bowtie2/build_test/main.nf rename to tests/modules/bowtie2/build_test/main.nf index 206c1683..f1a95156 100644 --- a/tests/software/bowtie2/build_test/main.nf +++ b/tests/modules/bowtie2/build_test/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BOWTIE2_BUILD } from '../../../../software/bowtie2/build/main.nf' addParams( options: [:] ) +include { BOWTIE2_BUILD } from '../../../../modules/bowtie2/build/main.nf' addParams( options: [:] ) workflow test_bowtie2_build { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bowtie2/build_test/test.yml b/tests/modules/bowtie2/build_test/test.yml similarity index 84% rename from tests/software/bowtie2/build_test/test.yml rename to tests/modules/bowtie2/build_test/test.yml index 35e0f0c3..cb7283e3 100644 --- a/tests/software/bowtie2/build_test/test.yml +++ b/tests/modules/bowtie2/build_test/test.yml @@ -1,5 +1,5 @@ - name: bowtie2 build - command: nextflow run ./tests/software/bowtie2/build_test -entry test_bowtie2_build -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bowtie2/build_test -entry test_bowtie2_build -c tests/config/nextflow.config tags: - bowtie2 - bowtie2/build diff --git a/tests/software/bwa/index/main.nf b/tests/modules/bwa/index/main.nf similarity index 67% rename from tests/software/bwa/index/main.nf rename to tests/modules/bwa/index/main.nf index db3d0200..fa7fffbc 100644 --- a/tests/software/bwa/index/main.nf +++ b/tests/modules/bwa/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BWA_INDEX } from '../../../../software/bwa/index/main.nf' addParams( options: [:] ) +include { BWA_INDEX } from '../../../../modules/bwa/index/main.nf' addParams( options: [:] ) workflow test_bwa_index { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bwa/index/test.yml b/tests/modules/bwa/index/test.yml similarity index 82% rename from tests/software/bwa/index/test.yml rename to tests/modules/bwa/index/test.yml index 553110e6..58af27e3 100644 --- a/tests/software/bwa/index/test.yml +++ b/tests/modules/bwa/index/test.yml @@ -1,5 +1,5 @@ - name: bwa index - command: nextflow run ./tests/software/bwa/index -entry test_bwa_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwa/index -entry test_bwa_index -c tests/config/nextflow.config tags: - bwa - bwa/index diff --git a/tests/software/bwa/mem/main.nf b/tests/modules/bwa/mem/main.nf similarity index 84% rename from tests/software/bwa/mem/main.nf rename to tests/modules/bwa/mem/main.nf index d85fab44..bac51d23 100644 --- a/tests/software/bwa/mem/main.nf +++ b/tests/modules/bwa/mem/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BWA_INDEX } from '../../../../software/bwa/index/main.nf' addParams( options: [:] ) -include { BWA_MEM } from '../../../../software/bwa/mem/main.nf' addParams( options: [:] ) +include { BWA_INDEX } from '../../../../modules/bwa/index/main.nf' addParams( options: [:] ) +include { BWA_MEM } from '../../../../modules/bwa/mem/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/bwa/mem/test.yml b/tests/modules/bwa/mem/test.yml similarity index 82% rename from tests/software/bwa/mem/test.yml rename to tests/modules/bwa/mem/test.yml index 5be352ba..df1988b5 100644 --- a/tests/software/bwa/mem/test.yml +++ b/tests/modules/bwa/mem/test.yml @@ -1,5 +1,5 @@ - name: bwa mem single-end - command: nextflow run ./tests/software/bwa/mem -entry test_bwa_mem_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwa/mem -entry test_bwa_mem_single_end -c tests/config/nextflow.config tags: - bwa - bwa/mem @@ -17,7 +17,7 @@ md5sum: ab3952cabf026b48cd3eb5bccbb636d1 - name: bwa mem paired-end - command: nextflow run ./tests/software/bwa/mem -entry test_bwa_mem_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwa/mem -entry test_bwa_mem_paired_end -c tests/config/nextflow.config tags: - bwa - bwa/mem diff --git a/tests/software/bwamem2/index/main.nf b/tests/modules/bwamem2/index/main.nf similarity index 66% rename from tests/software/bwamem2/index/main.nf rename to tests/modules/bwamem2/index/main.nf index 747ecc4f..897a62fe 100644 --- a/tests/software/bwamem2/index/main.nf +++ b/tests/modules/bwamem2/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BWAMEM2_INDEX } from '../../../../software/bwamem2/index/main.nf' addParams( options: [:] ) +include { BWAMEM2_INDEX } from '../../../../modules/bwamem2/index/main.nf' addParams( options: [:] ) workflow test_bwamem2_index { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bwamem2/index/test.yml b/tests/modules/bwamem2/index/test.yml similarity index 83% rename from tests/software/bwamem2/index/test.yml rename to tests/modules/bwamem2/index/test.yml index b4cc95f1..b71b6901 100644 --- a/tests/software/bwamem2/index/test.yml +++ b/tests/modules/bwamem2/index/test.yml @@ -1,5 +1,5 @@ - name: bwamem2 index - command: nextflow run ./tests/software/bwamem2/index -entry test_bwamem2_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwamem2/index -entry test_bwamem2_index -c tests/config/nextflow.config tags: - bwamem2 - bwamem2/index diff --git a/tests/software/bwamem2/mem/main.nf b/tests/modules/bwamem2/mem/main.nf similarity index 83% rename from tests/software/bwamem2/mem/main.nf rename to tests/modules/bwamem2/mem/main.nf index 3ae0fa1f..5abda8bb 100644 --- a/tests/software/bwamem2/mem/main.nf +++ b/tests/modules/bwamem2/mem/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { BWAMEM2_INDEX } from '../../../../software/bwamem2/index/main.nf' addParams( options: [:] ) -include { BWAMEM2_MEM } from '../../../../software/bwamem2/mem/main.nf' addParams( options: [:] ) +include { BWAMEM2_INDEX } from '../../../../modules/bwamem2/index/main.nf' addParams( options: [:] ) +include { BWAMEM2_MEM } from '../../../../modules/bwamem2/mem/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/bwamem2/mem/test.yml b/tests/modules/bwamem2/mem/test.yml similarity index 83% rename from tests/software/bwamem2/mem/test.yml rename to tests/modules/bwamem2/mem/test.yml index d624ca0d..cc2fe2a8 100644 --- a/tests/software/bwamem2/mem/test.yml +++ b/tests/modules/bwamem2/mem/test.yml @@ -1,5 +1,5 @@ - name: bwamem2 mem single-end - command: nextflow run ./tests/software/bwamem2/mem -entry test_bwamem2_mem_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwamem2/mem -entry test_bwamem2_mem_single_end -c tests/config/nextflow.config tags: - bwamem2 - bwamem2/mem @@ -17,7 +17,7 @@ md5sum: c32e11f6c859f166c7525a9c1d583567 - name: bwamem2 mem paired-end - command: nextflow run ./tests/software/bwamem2/mem -entry test_bwamem2_mem_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwamem2/mem -entry test_bwamem2_mem_paired_end -c tests/config/nextflow.config tags: - bwamem2 - bwamem2/mem diff --git a/tests/software/bwameth/align/main.nf b/tests/modules/bwameth/align/main.nf similarity index 82% rename from tests/software/bwameth/align/main.nf rename to tests/modules/bwameth/align/main.nf index c9bcbbd0..7a7aa99c 100644 --- a/tests/software/bwameth/align/main.nf +++ b/tests/modules/bwameth/align/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { BWAMETH_INDEX } from '../../../../software/bwameth/index/main.nf' addParams( options: [:] ) -include { BWAMETH_ALIGN as BWAMETH_ALIGN_SE } from '../../../../software/bwameth/align/main.nf' addParams( options: [ publish_dir:'test_single_end' ] ) -include { BWAMETH_ALIGN as BWAMETH_ALIGN_PE } from '../../../../software/bwameth/align/main.nf' addParams( options: [ publish_dir:'test_paired_end' ] ) +include { BWAMETH_INDEX } from '../../../../modules/bwameth/index/main.nf' addParams( options: [:] ) +include { BWAMETH_ALIGN as BWAMETH_ALIGN_SE } from '../../../../modules/bwameth/align/main.nf' addParams( options: [ publish_dir:'test_single_end' ] ) +include { BWAMETH_ALIGN as BWAMETH_ALIGN_PE } from '../../../../modules/bwameth/align/main.nf' addParams( options: [ publish_dir:'test_paired_end' ] ) // // Test with single-end data diff --git a/tests/software/bwameth/align/test.yml b/tests/modules/bwameth/align/test.yml similarity index 53% rename from tests/software/bwameth/align/test.yml rename to tests/modules/bwameth/align/test.yml index 4b8779aa..a7d9fdbc 100644 --- a/tests/software/bwameth/align/test.yml +++ b/tests/modules/bwameth/align/test.yml @@ -1,5 +1,5 @@ - name: Run bwameth single-end test workflow - command: nextflow run ./tests/software/bwameth/align -entry test_bwameth_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwameth/align -entry test_bwameth_align_single_end -c tests/config/nextflow.config tags: - bwameth - bwameth/align @@ -7,7 +7,7 @@ - path: output/test_single_end/test.bam - name: Run bwameth paired-end test workflow - command: nextflow run ./tests/software/bwameth/align -entry test_bwameth_align_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwameth/align -entry test_bwameth_align_paired_end -c tests/config/nextflow.config tags: - bwameth - bwameth/align diff --git a/tests/software/bwameth/index/main.nf b/tests/modules/bwameth/index/main.nf similarity index 66% rename from tests/software/bwameth/index/main.nf rename to tests/modules/bwameth/index/main.nf index 92e8ccd6..17477ca0 100644 --- a/tests/software/bwameth/index/main.nf +++ b/tests/modules/bwameth/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { BWAMETH_INDEX } from '../../../../software/bwameth/index/main.nf' addParams( options: [:] ) +include { BWAMETH_INDEX } from '../../../../modules/bwameth/index/main.nf' addParams( options: [:] ) workflow test_bwameth_index { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/bwameth/index/test.yml b/tests/modules/bwameth/index/test.yml similarity index 86% rename from tests/software/bwameth/index/test.yml rename to tests/modules/bwameth/index/test.yml index d81de332..5a2595d8 100644 --- a/tests/software/bwameth/index/test.yml +++ b/tests/modules/bwameth/index/test.yml @@ -1,5 +1,5 @@ - name: Run bwameth index test workflow - command: nextflow run ./tests/software/bwameth/index -entry test_bwameth_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/bwameth/index -entry test_bwameth_index -c tests/config/nextflow.config tags: - bwameth - bwameth/index diff --git a/tests/software/cat/fastq/main.nf b/tests/modules/cat/fastq/main.nf similarity index 91% rename from tests/software/cat/fastq/main.nf rename to tests/modules/cat/fastq/main.nf index 9860f694..de11bcf2 100644 --- a/tests/software/cat/fastq/main.nf +++ b/tests/modules/cat/fastq/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { CAT_FASTQ } from '../../../../software/cat/fastq/main.nf' addParams( options: [:] ) +include { CAT_FASTQ } from '../../../../modules/cat/fastq/main.nf' addParams( options: [:] ) workflow test_cat_fastq_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/cat/fastq/test.yml b/tests/modules/cat/fastq/test.yml similarity index 66% rename from tests/software/cat/fastq/test.yml rename to tests/modules/cat/fastq/test.yml index 56648fad..3a23d309 100644 --- a/tests/software/cat/fastq/test.yml +++ b/tests/modules/cat/fastq/test.yml @@ -1,5 +1,5 @@ - name: cat fastq single-end - command: nextflow run ./tests/software/cat/fastq -entry test_cat_fastq_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/cat/fastq -entry test_cat_fastq_single_end -c tests/config/nextflow.config tags: - cat - cat/fastq @@ -8,7 +8,7 @@ md5sum: 59f6dbe193741bb40f498f254aeb2e99 - name: cat fastq fastqc_paired_end - command: nextflow run ./tests/software/cat/fastq -entry test_cat_fastq_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/cat/fastq -entry test_cat_fastq_paired_end -c tests/config/nextflow.config tags: - cat - cat/fastq diff --git a/tests/software/cnvkit/main.nf b/tests/modules/cnvkit/main.nf similarity index 81% rename from tests/software/cnvkit/main.nf rename to tests/modules/cnvkit/main.nf index 6f922af1..6ee959ab 100755 --- a/tests/software/cnvkit/main.nf +++ b/tests/modules/cnvkit/main.nf @@ -1,19 +1,19 @@ -#!/usr/bin/env nextflow - -nextflow.enable.dsl = 2 - -include { CNVKIT } from '../../../software/cnvkit/main.nf' addParams( options: [ 'args': '--output-reference reference.cnn' ] ) - -workflow test_cnvkit { - tumourbam = file(params.test_data['sarscov2']['illumina']['test_paired_end_sorted_bam'], checkIfExists: true) - normalbam = file(params.test_data['sarscov2']['illumina']['test_single_end_sorted_bam'], checkIfExists: true) - - input = [ [ id:'test' ], // meta map - tumourbam, - normalbam - ] - fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) - targets = file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) - - CNVKIT ( input, fasta, targets ) -} +#!/usr/bin/env nextflow + +nextflow.enable.dsl = 2 + +include { CNVKIT } from '../../../modules/cnvkit/main.nf' addParams( options: [ 'args': '--output-reference reference.cnn' ] ) + +workflow test_cnvkit { + tumourbam = file(params.test_data['sarscov2']['illumina']['test_paired_end_sorted_bam'], checkIfExists: true) + normalbam = file(params.test_data['sarscov2']['illumina']['test_single_end_sorted_bam'], checkIfExists: true) + + input = [ [ id:'test' ], // meta map + tumourbam, + normalbam + ] + fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) + targets = file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) + + CNVKIT ( input, fasta, targets ) +} diff --git a/tests/software/cnvkit/test.yml b/tests/modules/cnvkit/test.yml similarity index 92% rename from tests/software/cnvkit/test.yml rename to tests/modules/cnvkit/test.yml index 5bc7bd57..6e09d6f3 100755 --- a/tests/software/cnvkit/test.yml +++ b/tests/modules/cnvkit/test.yml @@ -1,5 +1,5 @@ - name: cnvkit - command: nextflow run ./tests/software/cnvkit/ -entry test_cnvkit -c tests/config/nextflow.config + command: nextflow run ./tests/modules/cnvkit/ -entry test_cnvkit -c tests/config/nextflow.config tags: - cnvkit files: diff --git a/tests/software/cooler/digest/main.nf b/tests/modules/cooler/digest/main.nf similarity index 76% rename from tests/software/cooler/digest/main.nf rename to tests/modules/cooler/digest/main.nf index 7b033cc0..817c9081 100644 --- a/tests/software/cooler/digest/main.nf +++ b/tests/modules/cooler/digest/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { COOLER_DIGEST } from '../../../../software/cooler/digest/main.nf' addParams( options: [:] ) +include { COOLER_DIGEST } from '../../../../modules/cooler/digest/main.nf' addParams( options: [:] ) workflow test_cooler_digest { diff --git a/tests/software/cooler/digest/test.yml b/tests/modules/cooler/digest/test.yml similarity index 61% rename from tests/software/cooler/digest/test.yml rename to tests/modules/cooler/digest/test.yml index 8476400c..b594a232 100644 --- a/tests/software/cooler/digest/test.yml +++ b/tests/modules/cooler/digest/test.yml @@ -1,5 +1,5 @@ - name: cooler digest test_cooler_digest - command: nextflow run tests/software/cooler/digest -entry test_cooler_digest -c tests/config/nextflow.config + command: nextflow run tests/modules/cooler/digest -entry test_cooler_digest -c tests/config/nextflow.config tags: - cooler/digest - cooler diff --git a/tests/software/cooler/dump/main.nf b/tests/modules/cooler/dump/main.nf similarity index 74% rename from tests/software/cooler/dump/main.nf rename to tests/modules/cooler/dump/main.nf index e9db67b2..e2a647c5 100644 --- a/tests/software/cooler/dump/main.nf +++ b/tests/modules/cooler/dump/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { COOLER_DUMP } from '../../../../software/cooler/dump/main.nf' addParams( options: [:] ) +include { COOLER_DUMP } from '../../../../modules/cooler/dump/main.nf' addParams( options: [:] ) workflow test_cooler_dump { diff --git a/tests/software/cooler/dump/test.yml b/tests/modules/cooler/dump/test.yml similarity index 61% rename from tests/software/cooler/dump/test.yml rename to tests/modules/cooler/dump/test.yml index 154a1d98..ccfc5f47 100644 --- a/tests/software/cooler/dump/test.yml +++ b/tests/modules/cooler/dump/test.yml @@ -1,5 +1,5 @@ - name: cooler dump test_cooler_dump - command: nextflow run tests/software/cooler/dump -entry test_cooler_dump -c tests/config/nextflow.config + command: nextflow run tests/modules/cooler/dump -entry test_cooler_dump -c tests/config/nextflow.config tags: - cooler/dump - cooler diff --git a/tests/software/cutadapt/main.nf b/tests/modules/cutadapt/main.nf similarity index 87% rename from tests/software/cutadapt/main.nf rename to tests/modules/cutadapt/main.nf index 6ae6b215..8e060398 100644 --- a/tests/software/cutadapt/main.nf +++ b/tests/modules/cutadapt/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { CUTADAPT } from '../../../software/cutadapt/main.nf' addParams( options: [ args:'-q 25' ] ) +include { CUTADAPT } from '../../../modules/cutadapt/main.nf' addParams( options: [ args:'-q 25' ] ) // // Test with single-end data diff --git a/tests/software/cutadapt/test.yml b/tests/modules/cutadapt/test.yml similarity index 61% rename from tests/software/cutadapt/test.yml rename to tests/modules/cutadapt/test.yml index f843fe2c..40710dc5 100644 --- a/tests/software/cutadapt/test.yml +++ b/tests/modules/cutadapt/test.yml @@ -1,5 +1,5 @@ - name: cutadapt single-end - command: nextflow run ./tests/software/cutadapt -entry test_cutadapt_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/cutadapt -entry test_cutadapt_single_end -c tests/config/nextflow.config tags: - cutadapt files: @@ -7,7 +7,7 @@ - path: ./output/cutadapt/test.trim.fastq.gz - name: cutadapt paired-end - command: nextflow run ./tests/software/cutadapt -entry test_cutadapt_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/cutadapt -entry test_cutadapt_paired_end -c tests/config/nextflow.config tags: - cutadapt files: diff --git a/tests/software/damageprofiler/main.nf b/tests/modules/damageprofiler/main.nf similarity index 83% rename from tests/software/damageprofiler/main.nf rename to tests/modules/damageprofiler/main.nf index 494a35cf..5b128770 100644 --- a/tests/software/damageprofiler/main.nf +++ b/tests/modules/damageprofiler/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DAMAGEPROFILER } from '../../../software/damageprofiler/main.nf' addParams( options: [:] ) +include { DAMAGEPROFILER } from '../../../modules/damageprofiler/main.nf' addParams( options: [:] ) workflow test_damageprofiler { diff --git a/tests/software/damageprofiler/test.yml b/tests/modules/damageprofiler/test.yml similarity index 93% rename from tests/software/damageprofiler/test.yml rename to tests/modules/damageprofiler/test.yml index c6d348ff..357647be 100644 --- a/tests/software/damageprofiler/test.yml +++ b/tests/modules/damageprofiler/test.yml @@ -1,5 +1,5 @@ - name: damageprofiler - command: nextflow run ./tests/software/damageprofiler -entry test_damageprofiler -c tests/config/nextflow.config -dump-channels + command: nextflow run ./tests/modules/damageprofiler -entry test_damageprofiler -c tests/config/nextflow.config -dump-channels tags: - damageprofiler files: diff --git a/tests/software/deeptools/computematrix/main.nf b/tests/modules/deeptools/computematrix/main.nf similarity index 71% rename from tests/software/deeptools/computematrix/main.nf rename to tests/modules/deeptools/computematrix/main.nf index 61a2e6d3..116bc851 100644 --- a/tests/software/deeptools/computematrix/main.nf +++ b/tests/modules/deeptools/computematrix/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DEEPTOOLS_COMPUTEMATRIX } from '../../../../software/deeptools/computematrix/main.nf' addParams( options: ['args' : 'scale-regions -b 1000'] ) +include { DEEPTOOLS_COMPUTEMATRIX } from '../../../../modules/deeptools/computematrix/main.nf' addParams( options: ['args' : 'scale-regions -b 1000'] ) workflow test_deeptools_computematrix { diff --git a/tests/software/deeptools/computematrix/test.yml b/tests/modules/deeptools/computematrix/test.yml similarity index 66% rename from tests/software/deeptools/computematrix/test.yml rename to tests/modules/deeptools/computematrix/test.yml index 910bd336..fb2fa9e1 100644 --- a/tests/software/deeptools/computematrix/test.yml +++ b/tests/modules/deeptools/computematrix/test.yml @@ -1,5 +1,5 @@ - name: deeptools computematrix - command: nextflow run tests/software/deeptools/computematrix -entry test_deeptools_computematrix -c tests/config/nextflow.config + command: nextflow run tests/modules/deeptools/computematrix -entry test_deeptools_computematrix -c tests/config/nextflow.config tags: - deeptools - deeptools/computematrix diff --git a/tests/software/deeptools/plotfingerprint/main.nf b/tests/modules/deeptools/plotfingerprint/main.nf similarity index 78% rename from tests/software/deeptools/plotfingerprint/main.nf rename to tests/modules/deeptools/plotfingerprint/main.nf index 31e2e536..e84adc39 100644 --- a/tests/software/deeptools/plotfingerprint/main.nf +++ b/tests/modules/deeptools/plotfingerprint/main.nf @@ -4,7 +4,7 @@ nextflow.enable.dsl = 2 params.fragment_size = 1000 -include { DEEPTOOLS_PLOTFINGERPRINT } from '../../../../software/deeptools/plotfingerprint/main.nf' addParams( options: [:] ) +include { DEEPTOOLS_PLOTFINGERPRINT } from '../../../../modules/deeptools/plotfingerprint/main.nf' addParams( options: [:] ) workflow test_deeptools_plotfingerprint { diff --git a/tests/software/deeptools/plotfingerprint/test.yml b/tests/modules/deeptools/plotfingerprint/test.yml similarity index 73% rename from tests/software/deeptools/plotfingerprint/test.yml rename to tests/modules/deeptools/plotfingerprint/test.yml index f01e349f..bb96fbe2 100644 --- a/tests/software/deeptools/plotfingerprint/test.yml +++ b/tests/modules/deeptools/plotfingerprint/test.yml @@ -1,5 +1,5 @@ - name: deeptools plotfingerprint - command: nextflow run tests/software/deeptools/plotfingerprint -entry test_deeptools_plotfingerprint -c tests/config/nextflow.config + command: nextflow run tests/modules/deeptools/plotfingerprint -entry test_deeptools_plotfingerprint -c tests/config/nextflow.config tags: - deeptools - deeptools/plotfingerprint diff --git a/tests/software/deeptools/plotheatmap/main.nf b/tests/modules/deeptools/plotheatmap/main.nf similarity index 71% rename from tests/software/deeptools/plotheatmap/main.nf rename to tests/modules/deeptools/plotheatmap/main.nf index 9cd0e497..93e7d373 100644 --- a/tests/software/deeptools/plotheatmap/main.nf +++ b/tests/modules/deeptools/plotheatmap/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DEEPTOOLS_PLOTHEATMAP } from '../../../../software/deeptools/plotheatmap/main.nf' addParams( options: [:] ) +include { DEEPTOOLS_PLOTHEATMAP } from '../../../../modules/deeptools/plotheatmap/main.nf' addParams( options: [:] ) workflow test_deeptools_plotheatmap { diff --git a/tests/software/deeptools/plotheatmap/test.yml b/tests/modules/deeptools/plotheatmap/test.yml similarity index 60% rename from tests/software/deeptools/plotheatmap/test.yml rename to tests/modules/deeptools/plotheatmap/test.yml index 4d852c10..641d5121 100644 --- a/tests/software/deeptools/plotheatmap/test.yml +++ b/tests/modules/deeptools/plotheatmap/test.yml @@ -1,5 +1,5 @@ - name: deeptools plotheatmap - command: nextflow run tests/software/deeptools/plotheatmap -entry test_deeptools_plotheatmap -c tests/config/nextflow.config + command: nextflow run tests/modules/deeptools/plotheatmap -entry test_deeptools_plotheatmap -c tests/config/nextflow.config tags: - deeptools - deeptools/plotheatmap diff --git a/tests/software/deeptools/plotprofile/main.nf b/tests/modules/deeptools/plotprofile/main.nf similarity index 71% rename from tests/software/deeptools/plotprofile/main.nf rename to tests/modules/deeptools/plotprofile/main.nf index aa888d52..ac91f0c5 100644 --- a/tests/software/deeptools/plotprofile/main.nf +++ b/tests/modules/deeptools/plotprofile/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DEEPTOOLS_PLOTPROFILE } from '../../../../software/deeptools/plotprofile/main.nf' addParams( options: [:] ) +include { DEEPTOOLS_PLOTPROFILE } from '../../../../modules/deeptools/plotprofile/main.nf' addParams( options: [:] ) workflow test_deeptools_plotprofile { diff --git a/tests/software/deeptools/plotprofile/test.yml b/tests/modules/deeptools/plotprofile/test.yml similarity index 65% rename from tests/software/deeptools/plotprofile/test.yml rename to tests/modules/deeptools/plotprofile/test.yml index 413fe5b6..efe02ce5 100644 --- a/tests/software/deeptools/plotprofile/test.yml +++ b/tests/modules/deeptools/plotprofile/test.yml @@ -1,5 +1,5 @@ - name: deeptools plotprofile - command: nextflow run tests/software/deeptools/plotprofile -entry test_deeptools_plotprofile -c tests/config/nextflow.config + command: nextflow run tests/modules/deeptools/plotprofile -entry test_deeptools_plotprofile -c tests/config/nextflow.config tags: - deeptools - deeptools/plotprofile diff --git a/tests/software/delly/call/main.nf b/tests/modules/delly/call/main.nf similarity index 87% rename from tests/software/delly/call/main.nf rename to tests/modules/delly/call/main.nf index 96dc4b03..f41dda95 100644 --- a/tests/software/delly/call/main.nf +++ b/tests/modules/delly/call/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DELLY_CALL } from '../../../../software/delly/call/main.nf' addParams( options: [:] ) +include { DELLY_CALL } from '../../../../modules/delly/call/main.nf' addParams( options: [:] ) workflow test_delly_call { input = [ [ id:'test' ], // meta map diff --git a/tests/software/delly/call/test.yml b/tests/modules/delly/call/test.yml similarity index 70% rename from tests/software/delly/call/test.yml rename to tests/modules/delly/call/test.yml index e7149855..8faeba78 100644 --- a/tests/software/delly/call/test.yml +++ b/tests/modules/delly/call/test.yml @@ -1,5 +1,5 @@ - name: delly call test_delly_call - command: nextflow run tests/software/delly/call -entry test_delly_call -c tests/config/nextflow.config + command: nextflow run tests/modules/delly/call -entry test_delly_call -c tests/config/nextflow.config tags: - delly - delly/call diff --git a/tests/software/dshbio/filterbed/main.nf b/tests/modules/dshbio/filterbed/main.nf similarity index 63% rename from tests/software/dshbio/filterbed/main.nf rename to tests/modules/dshbio/filterbed/main.nf index ea100007..722c88d2 100644 --- a/tests/software/dshbio/filterbed/main.nf +++ b/tests/modules/dshbio/filterbed/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DSHBIO_FILTERBED } from '../../../../software/dshbio/filterbed/main.nf' addParams( options: [suffix: '.filtered', args: '--range chr1:0-1000'] ) +include { DSHBIO_FILTERBED } from '../../../../modules/dshbio/filterbed/main.nf' addParams( options: [suffix: '.filtered', args: '--range chr1:0-1000'] ) workflow test_dshbio_filterbed { input = [ [ id:'test' ], // meta map diff --git a/tests/software/dshbio/filterbed/test.yml b/tests/modules/dshbio/filterbed/test.yml similarity index 59% rename from tests/software/dshbio/filterbed/test.yml rename to tests/modules/dshbio/filterbed/test.yml index 0395ba42..ad1cde66 100644 --- a/tests/software/dshbio/filterbed/test.yml +++ b/tests/modules/dshbio/filterbed/test.yml @@ -1,5 +1,5 @@ - name: dshbio filterbed - command: nextflow run ./tests/software/dshbio/filterbed -entry test_dshbio_filterbed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/dshbio/filterbed -entry test_dshbio_filterbed -c tests/config/nextflow.config tags: - dshbio - dshbio/filterbed diff --git a/tests/software/dshbio/filtergff3/main.nf b/tests/modules/dshbio/filtergff3/main.nf similarity index 62% rename from tests/software/dshbio/filtergff3/main.nf rename to tests/modules/dshbio/filtergff3/main.nf index e3afb561..3156d091 100644 --- a/tests/software/dshbio/filtergff3/main.nf +++ b/tests/modules/dshbio/filtergff3/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DSHBIO_FILTERGFF3 } from '../../../../software/dshbio/filtergff3/main.nf' addParams( options: [suffix: '.filtered', args: '--range MT192765.1:0-1000'] ) +include { DSHBIO_FILTERGFF3 } from '../../../../modules/dshbio/filtergff3/main.nf' addParams( options: [suffix: '.filtered', args: '--range MT192765.1:0-1000'] ) workflow test_dshbio_filtergff3 { input = [ [ id:'test' ], // meta map diff --git a/tests/software/dshbio/filtergff3/test.yml b/tests/modules/dshbio/filtergff3/test.yml similarity index 59% rename from tests/software/dshbio/filtergff3/test.yml rename to tests/modules/dshbio/filtergff3/test.yml index 591afb60..95d1b446 100644 --- a/tests/software/dshbio/filtergff3/test.yml +++ b/tests/modules/dshbio/filtergff3/test.yml @@ -1,5 +1,5 @@ - name: dshbio filtergff3 - command: nextflow run ./tests/software/dshbio/filtergff3 -entry test_dshbio_filtergff3 -c tests/config/nextflow.config + command: nextflow run ./tests/modules/dshbio/filtergff3 -entry test_dshbio_filtergff3 -c tests/config/nextflow.config tags: - dshbio - dshbio/filtergff3 diff --git a/tests/software/dshbio/splitbed/main.nf b/tests/modules/dshbio/splitbed/main.nf similarity index 66% rename from tests/software/dshbio/splitbed/main.nf rename to tests/modules/dshbio/splitbed/main.nf index dbc78ab2..d7f3d004 100644 --- a/tests/software/dshbio/splitbed/main.nf +++ b/tests/modules/dshbio/splitbed/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DSHBIO_SPLITBED } from '../../../../software/dshbio/splitbed/main.nf' addParams( options: [suffix: '.', args: '--records 2'] ) +include { DSHBIO_SPLITBED } from '../../../../modules/dshbio/splitbed/main.nf' addParams( options: [suffix: '.', args: '--records 2'] ) workflow test_dshbio_splitbed { input = [ [ id:'test' ], // meta map diff --git a/tests/software/dshbio/splitbed/test.yml b/tests/modules/dshbio/splitbed/test.yml similarity index 68% rename from tests/software/dshbio/splitbed/test.yml rename to tests/modules/dshbio/splitbed/test.yml index 8f97b407..04f5b150 100644 --- a/tests/software/dshbio/splitbed/test.yml +++ b/tests/modules/dshbio/splitbed/test.yml @@ -1,5 +1,5 @@ - name: dshbio splitbed - command: nextflow run ./tests/software/dshbio/splitbed -entry test_dshbio_splitbed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/dshbio/splitbed -entry test_dshbio_splitbed -c tests/config/nextflow.config tags: - dshbio - dshbio/splitbed diff --git a/tests/software/dshbio/splitgff3/main.nf b/tests/modules/dshbio/splitgff3/main.nf similarity index 66% rename from tests/software/dshbio/splitgff3/main.nf rename to tests/modules/dshbio/splitgff3/main.nf index a4afd856..dd58201a 100644 --- a/tests/software/dshbio/splitgff3/main.nf +++ b/tests/modules/dshbio/splitgff3/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { DSHBIO_SPLITGFF3 } from '../../../../software/dshbio/splitgff3/main.nf' addParams( options: [suffix: '.', args: '--records 15'] ) +include { DSHBIO_SPLITGFF3 } from '../../../../modules/dshbio/splitgff3/main.nf' addParams( options: [suffix: '.', args: '--records 15'] ) workflow test_dshbio_splitgff3 { input = [ [ id:'test' ], // meta map diff --git a/tests/software/dshbio/splitgff3/test.yml b/tests/modules/dshbio/splitgff3/test.yml similarity index 68% rename from tests/software/dshbio/splitgff3/test.yml rename to tests/modules/dshbio/splitgff3/test.yml index e8fb8066..fe5b1bed 100644 --- a/tests/software/dshbio/splitgff3/test.yml +++ b/tests/modules/dshbio/splitgff3/test.yml @@ -1,5 +1,5 @@ - name: dshbio splitgff3 - command: nextflow run ./tests/software/dshbio/splitgff3 -entry test_dshbio_splitgff3 -c tests/config/nextflow.config + command: nextflow run ./tests/modules/dshbio/splitgff3 -entry test_dshbio_splitgff3 -c tests/config/nextflow.config tags: - dshbio - dshbio/splitgff3 diff --git a/tests/software/ensemblvep/main.nf b/tests/modules/ensemblvep/main.nf similarity index 71% rename from tests/software/ensemblvep/main.nf rename to tests/modules/ensemblvep/main.nf index 5681e5e1..3cbb26f1 100644 --- a/tests/software/ensemblvep/main.nf +++ b/tests/modules/ensemblvep/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { ENSEMBLVEP } from '../../../software/ensemblvep/main.nf' addParams( vep_tag: '104.3.WBcel235', use_cache: false ) +include { ENSEMBLVEP } from '../../../modules/ensemblvep/main.nf' addParams( vep_tag: '104.3.WBcel235', use_cache: false ) workflow test_ensemblvep { input = [ [ id:'test' ], // meta map diff --git a/tests/software/ensemblvep/test.yml b/tests/modules/ensemblvep/test.yml similarity index 60% rename from tests/software/ensemblvep/test.yml rename to tests/modules/ensemblvep/test.yml index 67c90a83..a6e33cae 100644 --- a/tests/software/ensemblvep/test.yml +++ b/tests/modules/ensemblvep/test.yml @@ -1,5 +1,5 @@ - name: ensemblvep test_ensemblvep - command: nextflow run tests/software/ensemblvep -entry test_ensemblvep -c tests/config/nextflow.config + command: nextflow run tests/modules/ensemblvep -entry test_ensemblvep -c tests/config/nextflow.config tags: - ensemblvep files: diff --git a/tests/software/fastp/main.nf b/tests/modules/fastp/main.nf similarity index 89% rename from tests/software/fastp/main.nf rename to tests/modules/fastp/main.nf index dad720e4..012f4c6c 100644 --- a/tests/software/fastp/main.nf +++ b/tests/modules/fastp/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { FASTP } from '../../../software/fastp/main.nf' addParams( options: [:] ) +include { FASTP } from '../../../modules/fastp/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/fastp/test.yml b/tests/modules/fastp/test.yml similarity index 85% rename from tests/software/fastp/test.yml rename to tests/modules/fastp/test.yml index f7f92ec8..eb9de964 100644 --- a/tests/software/fastp/test.yml +++ b/tests/modules/fastp/test.yml @@ -1,5 +1,5 @@ - name: fastp test_fastp_single_end - command: nextflow run tests/software/fastp -entry test_fastp_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/fastp -entry test_fastp_single_end -c tests/config/nextflow.config tags: - fastp files: @@ -17,7 +17,7 @@ md5sum: e0d856ebb3da9e4462c3ce9683efe01d - name: fastp test_fastp_paired_end - command: nextflow run tests/software/fastp -entry test_fastp_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/fastp -entry test_fastp_paired_end -c tests/config/nextflow.config tags: - fastp files: diff --git a/tests/software/fastqc/main.nf b/tests/modules/fastqc/main.nf similarity index 89% rename from tests/software/fastqc/main.nf rename to tests/modules/fastqc/main.nf index d361f525..d95befec 100644 --- a/tests/software/fastqc/main.nf +++ b/tests/modules/fastqc/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { FASTQC } from '../../../software/fastqc/main.nf' addParams( options: [:] ) +include { FASTQC } from '../../../modules/fastqc/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/fastqc/test.yml b/tests/modules/fastqc/test.yml similarity index 63% rename from tests/software/fastqc/test.yml rename to tests/modules/fastqc/test.yml index 0e0d0ee7..794e63fe 100644 --- a/tests/software/fastqc/test.yml +++ b/tests/modules/fastqc/test.yml @@ -1,5 +1,5 @@ - name: fastqc single-end - command: nextflow run ./tests/software/fastqc/ -entry test_fastqc_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/fastqc/ -entry test_fastqc_single_end -c tests/config/nextflow.config tags: - fastqc files: @@ -7,7 +7,7 @@ - path: ./output/fastqc/test_fastqc.zip - name: fastqc paired-end - command: nextflow run ./tests/software/fastqc/ -entry test_fastqc_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/fastqc/ -entry test_fastqc_paired_end -c tests/config/nextflow.config tags: - fastqc files: diff --git a/tests/software/fasttree/main.nf b/tests/modules/fasttree/main.nf similarity index 70% rename from tests/software/fasttree/main.nf rename to tests/modules/fasttree/main.nf index f490f4ac..109aaa77 100644 --- a/tests/software/fasttree/main.nf +++ b/tests/modules/fasttree/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { FASTTREE } from '../../../software/fasttree/main.nf' addParams( options: [:] ) +include { FASTTREE } from '../../../modules/fasttree/main.nf' addParams( options: [:] ) workflow test_fasttree { diff --git a/tests/software/fasttree/test.yml b/tests/modules/fasttree/test.yml similarity index 58% rename from tests/software/fasttree/test.yml rename to tests/modules/fasttree/test.yml index 408a0f4c..b30590c7 100644 --- a/tests/software/fasttree/test.yml +++ b/tests/modules/fasttree/test.yml @@ -1,5 +1,5 @@ - name: fasttree - command: nextflow run ./tests/software/fasttree -entry test_fasttree -c tests/config/nextflow.config + command: nextflow run ./tests/modules/fasttree -entry test_fasttree -c tests/config/nextflow.config tags: - fasttree files: diff --git a/tests/software/fgbio/callmolecularconsensusreads/main.nf b/tests/modules/fgbio/callmolecularconsensusreads/main.nf similarity index 61% rename from tests/software/fgbio/callmolecularconsensusreads/main.nf rename to tests/modules/fgbio/callmolecularconsensusreads/main.nf index a76e1501..8ce34eca 100644 --- a/tests/software/fgbio/callmolecularconsensusreads/main.nf +++ b/tests/modules/fgbio/callmolecularconsensusreads/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { FGBIO_SORTBAM } from '../../../../software/fgbio/sortbam/main.nf' addParams( options: [args: '-s TemplateCoordinate', suffix: '_out'] ) -include { FGBIO_CALLMOLECULARCONSENSUSREADS } from '../../../../software/fgbio/callmolecularconsensusreads/main.nf' addParams( options: [args: '-M 1', suffix: '_molreads'] ) +include { FGBIO_SORTBAM } from '../../../../modules/fgbio/sortbam/main.nf' addParams( options: [args: '-s TemplateCoordinate', suffix: '_out'] ) +include { FGBIO_CALLMOLECULARCONSENSUSREADS } from '../../../../modules/fgbio/callmolecularconsensusreads/main.nf' addParams( options: [args: '-M 1', suffix: '_molreads'] ) workflow test_fgbio_callmolecularconsensusreads { input = [ [ id:'test' ], // meta map diff --git a/tests/software/fgbio/callmolecularconsensusreads/test.yml b/tests/modules/fgbio/callmolecularconsensusreads/test.yml similarity index 65% rename from tests/software/fgbio/callmolecularconsensusreads/test.yml rename to tests/modules/fgbio/callmolecularconsensusreads/test.yml index 325ab7fb..ac53957c 100644 --- a/tests/software/fgbio/callmolecularconsensusreads/test.yml +++ b/tests/modules/fgbio/callmolecularconsensusreads/test.yml @@ -1,5 +1,5 @@ - name: fgbio callmolecularconsensusreads - command: nextflow run tests/software/fgbio/callmolecularconsensusreads -entry test_fgbio_callmolecularconsensusreads -c tests/config/nextflow.config + command: nextflow run tests/modules/fgbio/callmolecularconsensusreads -entry test_fgbio_callmolecularconsensusreads -c tests/config/nextflow.config tags: - fgbio - fgbio/callmolecularconsensusreads diff --git a/tests/software/fgbio/sortbam/main.nf b/tests/modules/fgbio/sortbam/main.nf similarity index 72% rename from tests/software/fgbio/sortbam/main.nf rename to tests/modules/fgbio/sortbam/main.nf index 3b1653a9..65bea1d7 100644 --- a/tests/software/fgbio/sortbam/main.nf +++ b/tests/modules/fgbio/sortbam/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { FGBIO_SORTBAM } from '../../../../software/fgbio/sortbam/main.nf' addParams( options: [:] ) +include { FGBIO_SORTBAM } from '../../../../modules/fgbio/sortbam/main.nf' addParams( options: [:] ) workflow test_fgbio_sortbam { input = [ [ id:'test' ], // meta map diff --git a/tests/software/fgbio/sortbam/test.yml b/tests/modules/fgbio/sortbam/test.yml similarity index 57% rename from tests/software/fgbio/sortbam/test.yml rename to tests/modules/fgbio/sortbam/test.yml index 9407f180..68183cd2 100644 --- a/tests/software/fgbio/sortbam/test.yml +++ b/tests/modules/fgbio/sortbam/test.yml @@ -1,5 +1,5 @@ - name: fgbio sortbam - command: nextflow run tests/software/fgbio/sortbam -entry test_fgbio_sortbam -c tests/config/nextflow.config + command: nextflow run tests/modules/fgbio/sortbam -entry test_fgbio_sortbam -c tests/config/nextflow.config tags: - fgbio - fgbio/sortbam diff --git a/tests/software/flash/main.nf b/tests/modules/flash/main.nf similarity index 78% rename from tests/software/flash/main.nf rename to tests/modules/flash/main.nf index 3707dd4c..e0f5e623 100644 --- a/tests/software/flash/main.nf +++ b/tests/modules/flash/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { FLASH } from '../../../software/flash/main.nf' addParams( options: [args:'-m 20 -M 100'] ) +include { FLASH } from '../../../modules/flash/main.nf' addParams( options: [args:'-m 20 -M 100'] ) workflow test_flash { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/flash/test.yml b/tests/modules/flash/test.yml similarity index 79% rename from tests/software/flash/test.yml rename to tests/modules/flash/test.yml index 411f4d69..61ea9eab 100644 --- a/tests/software/flash/test.yml +++ b/tests/modules/flash/test.yml @@ -1,5 +1,5 @@ - name: flash test_flash - command: nextflow run tests/software/flash -entry test_flash -c tests/config/nextflow.config + command: nextflow run tests/modules/flash -entry test_flash -c tests/config/nextflow.config tags: - flash files: diff --git a/tests/software/gatk4/applybqsr/main.nf b/tests/modules/gatk4/applybqsr/main.nf similarity index 94% rename from tests/software/gatk4/applybqsr/main.nf rename to tests/modules/gatk4/applybqsr/main.nf index a33542b5..5fb590b0 100644 --- a/tests/software/gatk4/applybqsr/main.nf +++ b/tests/modules/gatk4/applybqsr/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_APPLYBQSR } from '../../../../software/gatk4/applybqsr/main.nf' addParams( options: [:] ) +include { GATK4_APPLYBQSR } from '../../../../modules/gatk4/applybqsr/main.nf' addParams( options: [:] ) workflow test_gatk4_applybqsr { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/applybqsr/test.yml b/tests/modules/gatk4/applybqsr/test.yml similarity index 60% rename from tests/software/gatk4/applybqsr/test.yml rename to tests/modules/gatk4/applybqsr/test.yml index e1f1dcdc..983cc09a 100644 --- a/tests/software/gatk4/applybqsr/test.yml +++ b/tests/modules/gatk4/applybqsr/test.yml @@ -1,5 +1,5 @@ - name: gatk4 applybqsr test_gatk4_applybqsr - command: nextflow run tests/software/gatk4/applybqsr -entry test_gatk4_applybqsr -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/applybqsr -entry test_gatk4_applybqsr -c tests/config/nextflow.config tags: - gatk4 - gatk4/applybqsr @@ -8,7 +8,7 @@ md5sum: dac716c394db5e83c12b44355c098ca7 - name: gatk4 applybqsr test_gatk4_applybqsr_intervals - command: nextflow run tests/software/gatk4/applybqsr -entry test_gatk4_applybqsr_intervals -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/applybqsr -entry test_gatk4_applybqsr_intervals -c tests/config/nextflow.config tags: - gatk4 - gatk4/applybqsr diff --git a/tests/software/gatk4/baserecalibrator/main.nf b/tests/modules/gatk4/baserecalibrator/main.nf similarity index 96% rename from tests/software/gatk4/baserecalibrator/main.nf rename to tests/modules/gatk4/baserecalibrator/main.nf index 72198c8a..671a1d67 100644 --- a/tests/software/gatk4/baserecalibrator/main.nf +++ b/tests/modules/gatk4/baserecalibrator/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_BASERECALIBRATOR } from '../../../../software/gatk4/baserecalibrator/main.nf' addParams( options: [:] ) +include { GATK4_BASERECALIBRATOR } from '../../../../modules/gatk4/baserecalibrator/main.nf' addParams( options: [:] ) workflow test_gatk4_baserecalibrator { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/baserecalibrator/test.yml b/tests/modules/gatk4/baserecalibrator/test.yml similarity index 60% rename from tests/software/gatk4/baserecalibrator/test.yml rename to tests/modules/gatk4/baserecalibrator/test.yml index 0185ec60..3c30d78f 100644 --- a/tests/software/gatk4/baserecalibrator/test.yml +++ b/tests/modules/gatk4/baserecalibrator/test.yml @@ -1,5 +1,5 @@ - name: gatk4 baserecalibrator test_gatk4_baserecalibrator - command: nextflow run tests/software/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator -c tests/config/nextflow.config tags: - gatk4/baserecalibrator - gatk4 @@ -8,7 +8,7 @@ md5sum: e2e43abdc0c943c1a54dae816d0b9ea7 - name: gatk4 baserecalibrator test_gatk4_baserecalibrator_intervals - command: nextflow run tests/software/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator_intervals -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator_intervals -c tests/config/nextflow.config tags: - gatk4/baserecalibrator - gatk4 @@ -17,7 +17,7 @@ md5sum: 9ecb5f00a2229291705addc09c0ec231 - name: gatk4 baserecalibrator test_gatk4_baserecalibrator_multiple_sites - command: nextflow run tests/software/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator_multiple_sites -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/baserecalibrator -entry test_gatk4_baserecalibrator_multiple_sites -c tests/config/nextflow.config tags: - gatk4/baserecalibrator - gatk4 diff --git a/tests/software/gatk4/bedtointervallist/main.nf b/tests/modules/gatk4/bedtointervallist/main.nf similarity index 75% rename from tests/software/gatk4/bedtointervallist/main.nf rename to tests/modules/gatk4/bedtointervallist/main.nf index 37cb164d..1ca4be58 100644 --- a/tests/software/gatk4/bedtointervallist/main.nf +++ b/tests/modules/gatk4/bedtointervallist/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_BEDTOINTERVALLIST } from '../../../../software/gatk4/bedtointervallist/main.nf' addParams( options: [:] ) +include { GATK4_BEDTOINTERVALLIST } from '../../../../modules/gatk4/bedtointervallist/main.nf' addParams( options: [:] ) workflow test_gatk4_bedtointervallist { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/bedtointervallist/test.yml b/tests/modules/gatk4/bedtointervallist/test.yml similarity index 61% rename from tests/software/gatk4/bedtointervallist/test.yml rename to tests/modules/gatk4/bedtointervallist/test.yml index 7b4a5a62..83c3a574 100644 --- a/tests/software/gatk4/bedtointervallist/test.yml +++ b/tests/modules/gatk4/bedtointervallist/test.yml @@ -1,5 +1,5 @@ - name: gatk4 bedtointervallist test_gatk4_bedtointervallist - command: nextflow run tests/software/gatk4/bedtointervallist -entry test_gatk4_bedtointervallist -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/bedtointervallist -entry test_gatk4_bedtointervallist -c tests/config/nextflow.config tags: - gatk4 - gatk4/bedtointervallist diff --git a/tests/software/gatk4/createsequencedictionary/main.nf b/tests/modules/gatk4/createsequencedictionary/main.nf similarity index 63% rename from tests/software/gatk4/createsequencedictionary/main.nf rename to tests/modules/gatk4/createsequencedictionary/main.nf index ff798693..443d77bc 100644 --- a/tests/software/gatk4/createsequencedictionary/main.nf +++ b/tests/modules/gatk4/createsequencedictionary/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_CREATESEQUENCEDICTIONARY } from '../../../../software/gatk4/createsequencedictionary/main.nf' addParams( options: [:] ) +include { GATK4_CREATESEQUENCEDICTIONARY } from '../../../../modules/gatk4/createsequencedictionary/main.nf' addParams( options: [:] ) workflow test_gatk4_createsequencedictionary { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/gatk4/createsequencedictionary/test.yml b/tests/modules/gatk4/createsequencedictionary/test.yml similarity index 60% rename from tests/software/gatk4/createsequencedictionary/test.yml rename to tests/modules/gatk4/createsequencedictionary/test.yml index 99e73e8e..7788d16a 100644 --- a/tests/software/gatk4/createsequencedictionary/test.yml +++ b/tests/modules/gatk4/createsequencedictionary/test.yml @@ -1,5 +1,5 @@ - name: gatk4 createsequencedictionary test_gatk4_createsequencedictionary - command: nextflow run tests/software/gatk4/createsequencedictionary -entry test_gatk4_createsequencedictionary -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/createsequencedictionary -entry test_gatk4_createsequencedictionary -c tests/config/nextflow.config tags: - gatk4 - gatk4/createsequencedictionary diff --git a/tests/software/gatk4/fastqtosam/main.nf b/tests/modules/gatk4/fastqtosam/main.nf similarity index 85% rename from tests/software/gatk4/fastqtosam/main.nf rename to tests/modules/gatk4/fastqtosam/main.nf index 82ddcb99..64694d9f 100644 --- a/tests/software/gatk4/fastqtosam/main.nf +++ b/tests/modules/gatk4/fastqtosam/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_FASTQTOSAM } from '../../../../software/gatk4/fastqtosam/main.nf' addParams( options: [:] ) +include { GATK4_FASTQTOSAM } from '../../../../modules/gatk4/fastqtosam/main.nf' addParams( options: [:] ) workflow test_gatk4_fastqtosam_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/gatk4/fastqtosam/test.yml b/tests/modules/gatk4/fastqtosam/test.yml similarity index 59% rename from tests/software/gatk4/fastqtosam/test.yml rename to tests/modules/gatk4/fastqtosam/test.yml index a3df1a6f..f6597b66 100644 --- a/tests/software/gatk4/fastqtosam/test.yml +++ b/tests/modules/gatk4/fastqtosam/test.yml @@ -1,5 +1,5 @@ - name: gatk4 fastqtosam test_gatk4_fastqtosam_single_end - command: nextflow run tests/software/gatk4/fastqtosam -entry test_gatk4_fastqtosam_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/fastqtosam -entry test_gatk4_fastqtosam_single_end -c tests/config/nextflow.config tags: - gatk4/fastqtosam - gatk4 @@ -8,7 +8,7 @@ md5sum: 4967100b2e4912c0e4ce0976d946bafb - name: gatk4 fastqtosam test_gatk4_fastqtosam_paired_end - command: nextflow run tests/software/gatk4/fastqtosam -entry test_gatk4_fastqtosam_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/fastqtosam -entry test_gatk4_fastqtosam_paired_end -c tests/config/nextflow.config tags: - gatk4 - gatk4/fastqtosam diff --git a/tests/software/gatk4/haplotypecaller/main.nf b/tests/modules/gatk4/haplotypecaller/main.nf similarity index 86% rename from tests/software/gatk4/haplotypecaller/main.nf rename to tests/modules/gatk4/haplotypecaller/main.nf index 9e77d6aa..76059074 100644 --- a/tests/software/gatk4/haplotypecaller/main.nf +++ b/tests/modules/gatk4/haplotypecaller/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_HAPLOTYPECALLER } from '../../../../software/gatk4/haplotypecaller/main.nf' addParams( options: [:] ) +include { GATK4_HAPLOTYPECALLER } from '../../../../modules/gatk4/haplotypecaller/main.nf' addParams( options: [:] ) workflow test_gatk4_haplotypecaller { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/haplotypecaller/test.yml b/tests/modules/gatk4/haplotypecaller/test.yml similarity index 69% rename from tests/software/gatk4/haplotypecaller/test.yml rename to tests/modules/gatk4/haplotypecaller/test.yml index 3418e360..dee2a2ab 100644 --- a/tests/software/gatk4/haplotypecaller/test.yml +++ b/tests/modules/gatk4/haplotypecaller/test.yml @@ -1,5 +1,5 @@ - name: gatk4 haplotypecaller test_gatk4_haplotypecaller - command: nextflow run tests/software/gatk4/haplotypecaller -entry test_gatk4_haplotypecaller -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/haplotypecaller -entry test_gatk4_haplotypecaller -c tests/config/nextflow.config tags: - gatk4 - gatk4/haplotypecaller diff --git a/tests/software/gatk4/intervallisttools/main.nf b/tests/modules/gatk4/intervallisttools/main.nf similarity index 74% rename from tests/software/gatk4/intervallisttools/main.nf rename to tests/modules/gatk4/intervallisttools/main.nf index 93797cdc..59be74e8 100644 --- a/tests/software/gatk4/intervallisttools/main.nf +++ b/tests/modules/gatk4/intervallisttools/main.nf @@ -3,8 +3,8 @@ nextflow.enable.dsl = 2 test_options = ['args': '--SCATTER_COUNT 6 --SUBDIVISION_MODE BALANCING_WITHOUT_INTERVAL_SUBDIVISION_WITH_OVERFLOW --UNIQUE true --SORT true'] -include { GATK4_BEDTOINTERVALLIST } from '../../../../software/gatk4/bedtointervallist/main.nf' addParams( options: [:] ) -include { GATK4_INTERVALLISTTOOLS as INTERVALLISTTOOLS } from '../../../../software/gatk4/intervallisttools/main.nf' addParams( options: test_options ) +include { GATK4_BEDTOINTERVALLIST } from '../../../../modules/gatk4/bedtointervallist/main.nf' addParams( options: [:] ) +include { GATK4_INTERVALLISTTOOLS as INTERVALLISTTOOLS } from '../../../../modules/gatk4/intervallisttools/main.nf' addParams( options: test_options ) workflow test_gatk4_intervallisttools { diff --git a/tests/software/gatk4/intervallisttools/test.yml b/tests/modules/gatk4/intervallisttools/test.yml similarity index 85% rename from tests/software/gatk4/intervallisttools/test.yml rename to tests/modules/gatk4/intervallisttools/test.yml index 62cecfb6..da3e6172 100644 --- a/tests/software/gatk4/intervallisttools/test.yml +++ b/tests/modules/gatk4/intervallisttools/test.yml @@ -1,5 +1,5 @@ - name: gatk4 intervallisttools test_gatk4_intervallisttools - command: nextflow run tests/software/gatk4/intervallisttools -entry test_gatk4_intervallisttools -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/intervallisttools -entry test_gatk4_intervallisttools -c tests/config/nextflow.config tags: - gatk4 - gatk4/intervallisttools diff --git a/tests/software/gatk4/markduplicates/main.nf b/tests/modules/gatk4/markduplicates/main.nf similarity index 72% rename from tests/software/gatk4/markduplicates/main.nf rename to tests/modules/gatk4/markduplicates/main.nf index 01642d4c..06425088 100644 --- a/tests/software/gatk4/markduplicates/main.nf +++ b/tests/modules/gatk4/markduplicates/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_MARKDUPLICATES } from '../../../../software/gatk4/markduplicates/main.nf' addParams( options: [:] ) +include { GATK4_MARKDUPLICATES } from '../../../../modules/gatk4/markduplicates/main.nf' addParams( options: [:] ) workflow test_gatk4_markduplicates { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/gatk4/markduplicates/test.yml b/tests/modules/gatk4/markduplicates/test.yml similarity index 60% rename from tests/software/gatk4/markduplicates/test.yml rename to tests/modules/gatk4/markduplicates/test.yml index 76f9ccb1..028147e6 100644 --- a/tests/software/gatk4/markduplicates/test.yml +++ b/tests/modules/gatk4/markduplicates/test.yml @@ -1,5 +1,5 @@ - name: gatk4 markduplicates test_gatk4_markduplicates - command: nextflow run tests/software/gatk4/markduplicates -entry test_gatk4_markduplicates -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/markduplicates -entry test_gatk4_markduplicates -c tests/config/nextflow.config tags: - gatk4 - gatk4/markduplicates diff --git a/tests/software/gatk4/mergebamalignment/main.nf b/tests/modules/gatk4/mergebamalignment/main.nf similarity index 83% rename from tests/software/gatk4/mergebamalignment/main.nf rename to tests/modules/gatk4/mergebamalignment/main.nf index 302f9db3..745113ae 100644 --- a/tests/software/gatk4/mergebamalignment/main.nf +++ b/tests/modules/gatk4/mergebamalignment/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_MERGEBAMALIGNMENT } from '../../../../software/gatk4/mergebamalignment/main.nf' addParams( options: [:] ) +include { GATK4_MERGEBAMALIGNMENT } from '../../../../modules/gatk4/mergebamalignment/main.nf' addParams( options: [:] ) workflow test_gatk4_mergebamalignment { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/mergebamalignment/test.yml b/tests/modules/gatk4/mergebamalignment/test.yml similarity index 60% rename from tests/software/gatk4/mergebamalignment/test.yml rename to tests/modules/gatk4/mergebamalignment/test.yml index 7b1305b7..190a9391 100644 --- a/tests/software/gatk4/mergebamalignment/test.yml +++ b/tests/modules/gatk4/mergebamalignment/test.yml @@ -1,5 +1,5 @@ - name: gatk4 mergebamalignment test_gatk4_mergebamalignment - command: nextflow run tests/software/gatk4/mergebamalignment -entry test_gatk4_mergebamalignment -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/mergebamalignment -entry test_gatk4_mergebamalignment -c tests/config/nextflow.config tags: - gatk4 - gatk4/mergebamalignment diff --git a/tests/software/gatk4/mergevcfs/main.nf b/tests/modules/gatk4/mergevcfs/main.nf similarity index 89% rename from tests/software/gatk4/mergevcfs/main.nf rename to tests/modules/gatk4/mergevcfs/main.nf index 26549c34..5da894ab 100644 --- a/tests/software/gatk4/mergevcfs/main.nf +++ b/tests/modules/gatk4/mergevcfs/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_MERGEVCFS } from '../../../../software/gatk4/mergevcfs/main.nf' addParams( options: [:] ) +include { GATK4_MERGEVCFS } from '../../../../modules/gatk4/mergevcfs/main.nf' addParams( options: [:] ) workflow test_gatk4_mergevcfs { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/mergevcfs/test.yml b/tests/modules/gatk4/mergevcfs/test.yml similarity index 60% rename from tests/software/gatk4/mergevcfs/test.yml rename to tests/modules/gatk4/mergevcfs/test.yml index 5ffbb89c..4458f969 100644 --- a/tests/software/gatk4/mergevcfs/test.yml +++ b/tests/modules/gatk4/mergevcfs/test.yml @@ -1,5 +1,5 @@ - name: gatk4 mergevcfs test_gatk4_mergevcfs - command: nextflow run tests/software/gatk4/mergevcfs -entry test_gatk4_mergevcfs -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/mergevcfs -entry test_gatk4_mergevcfs -c tests/config/nextflow.config tags: - gatk4/mergevcfs - gatk4 @@ -8,7 +8,7 @@ md5sum: ff48f175e26db2d4b2957762f6d1c715 - name: gatk4 mergevcfs test_gatk4_mergevcfs_refdict - command: nextflow run tests/software/gatk4/mergevcfs -entry test_gatk4_mergevcfs_refdict -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/mergevcfs -entry test_gatk4_mergevcfs_refdict -c tests/config/nextflow.config tags: - gatk4/mergevcfs - gatk4 diff --git a/tests/software/gatk4/revertsam/main.nf b/tests/modules/gatk4/revertsam/main.nf similarity index 72% rename from tests/software/gatk4/revertsam/main.nf rename to tests/modules/gatk4/revertsam/main.nf index 2bc45f74..df127c9b 100644 --- a/tests/software/gatk4/revertsam/main.nf +++ b/tests/modules/gatk4/revertsam/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_REVERTSAM } from '../../../../software/gatk4/revertsam/main.nf' addParams( options: [:] ) +include { GATK4_REVERTSAM } from '../../../../modules/gatk4/revertsam/main.nf' addParams( options: [:] ) workflow test_gatk4_revertsam { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/revertsam/test.yml b/tests/modules/gatk4/revertsam/test.yml similarity index 61% rename from tests/software/gatk4/revertsam/test.yml rename to tests/modules/gatk4/revertsam/test.yml index d5a31664..3f0969c4 100644 --- a/tests/software/gatk4/revertsam/test.yml +++ b/tests/modules/gatk4/revertsam/test.yml @@ -1,5 +1,5 @@ - name: gatk4 revertsam test_gatk4_revertsam - command: nextflow run tests/software/gatk4/revertsam -entry test_gatk4_revertsam -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/revertsam -entry test_gatk4_revertsam -c tests/config/nextflow.config tags: - gatk4 - gatk4/revertsam diff --git a/tests/software/gatk4/samtofastq/main.nf b/tests/modules/gatk4/samtofastq/main.nf similarity index 84% rename from tests/software/gatk4/samtofastq/main.nf rename to tests/modules/gatk4/samtofastq/main.nf index 9c3e5341..db63a2a5 100644 --- a/tests/software/gatk4/samtofastq/main.nf +++ b/tests/modules/gatk4/samtofastq/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_SAMTOFASTQ } from '../../../../software/gatk4/samtofastq/main.nf' addParams( options: [:] ) +include { GATK4_SAMTOFASTQ } from '../../../../modules/gatk4/samtofastq/main.nf' addParams( options: [:] ) workflow test_gatk4_samtofastq_single_end { input = [ [ id:'test', single_end: true ], // meta map diff --git a/tests/software/gatk4/samtofastq/test.yml b/tests/modules/gatk4/samtofastq/test.yml similarity index 65% rename from tests/software/gatk4/samtofastq/test.yml rename to tests/modules/gatk4/samtofastq/test.yml index d70da6dc..3d877d2f 100644 --- a/tests/software/gatk4/samtofastq/test.yml +++ b/tests/modules/gatk4/samtofastq/test.yml @@ -1,5 +1,5 @@ - name: gatk4 samtofastq test_gatk4_samtofastq_single_end - command: nextflow run tests/software/gatk4/samtofastq -entry test_gatk4_samtofastq_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/samtofastq -entry test_gatk4_samtofastq_single_end -c tests/config/nextflow.config tags: - gatk4 - gatk4/samtofastq @@ -8,7 +8,7 @@ md5sum: 50ace41d4c24467f24f8b929540a7797 - name: gatk4 samtofastq test_gatk4_samtofastq_paired_end - command: nextflow run tests/software/gatk4/samtofastq -entry test_gatk4_samtofastq_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/samtofastq -entry test_gatk4_samtofastq_paired_end -c tests/config/nextflow.config tags: - gatk4 - gatk4/samtofastq diff --git a/tests/software/gatk4/splitncigarreads/main.nf b/tests/modules/gatk4/splitncigarreads/main.nf similarity index 83% rename from tests/software/gatk4/splitncigarreads/main.nf rename to tests/modules/gatk4/splitncigarreads/main.nf index 8dba64cb..3e6bde80 100644 --- a/tests/software/gatk4/splitncigarreads/main.nf +++ b/tests/modules/gatk4/splitncigarreads/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GATK4_SPLITNCIGARREADS } from '../../../../software/gatk4/splitncigarreads/main.nf' addParams( options: [:] ) +include { GATK4_SPLITNCIGARREADS } from '../../../../modules/gatk4/splitncigarreads/main.nf' addParams( options: [:] ) workflow test_gatk4_splitncigarreads { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/splitncigarreads/test.yml b/tests/modules/gatk4/splitncigarreads/test.yml similarity index 60% rename from tests/software/gatk4/splitncigarreads/test.yml rename to tests/modules/gatk4/splitncigarreads/test.yml index 9169a51c..c2eb2b50 100644 --- a/tests/software/gatk4/splitncigarreads/test.yml +++ b/tests/modules/gatk4/splitncigarreads/test.yml @@ -1,5 +1,5 @@ - name: gatk4 splitncigarreads test_gatk4_splitncigarreads - command: nextflow run tests/software/gatk4/splitncigarreads -entry test_gatk4_splitncigarreads -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/splitncigarreads -entry test_gatk4_splitncigarreads -c tests/config/nextflow.config tags: - gatk4 - gatk4/splitncigarreads diff --git a/tests/software/gatk4/variantfiltration/main.nf b/tests/modules/gatk4/variantfiltration/main.nf similarity index 84% rename from tests/software/gatk4/variantfiltration/main.nf rename to tests/modules/gatk4/variantfiltration/main.nf index efed5813..04bebf6f 100644 --- a/tests/software/gatk4/variantfiltration/main.nf +++ b/tests/modules/gatk4/variantfiltration/main.nf @@ -3,7 +3,7 @@ nextflow.enable.dsl = 2 test_options = ['args': '--filter-name "test_filter" --filter-expression "MQ0 > 0"', 'suffix': '.filtered'] -include { GATK4_VARIANTFILTRATION } from '../../../../software/gatk4/variantfiltration/main.nf' addParams( options: test_options ) +include { GATK4_VARIANTFILTRATION } from '../../../../modules/gatk4/variantfiltration/main.nf' addParams( options: test_options ) workflow test_gatk4_variantfiltration { input = [ [ id:'test' ], // meta map diff --git a/tests/software/gatk4/variantfiltration/test.yml b/tests/modules/gatk4/variantfiltration/test.yml similarity index 65% rename from tests/software/gatk4/variantfiltration/test.yml rename to tests/modules/gatk4/variantfiltration/test.yml index daa68b58..1a2bf6d2 100644 --- a/tests/software/gatk4/variantfiltration/test.yml +++ b/tests/modules/gatk4/variantfiltration/test.yml @@ -1,5 +1,5 @@ - name: gatk4 variantfiltration test_gatk4_variantfiltration - command: nextflow run tests/software/gatk4/variantfiltration -entry test_gatk4_variantfiltration -c tests/config/nextflow.config + command: nextflow run tests/modules/gatk4/variantfiltration -entry test_gatk4_variantfiltration -c tests/config/nextflow.config tags: - gatk4/variantfiltration - gatk4 diff --git a/tests/software/genmap/index/main.nf b/tests/modules/genmap/index/main.nf similarity index 67% rename from tests/software/genmap/index/main.nf rename to tests/modules/genmap/index/main.nf index 9a900833..dfbdbbed 100644 --- a/tests/software/genmap/index/main.nf +++ b/tests/modules/genmap/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GENMAP_INDEX } from '../../../../software/genmap/index/main.nf' addParams( options: [:] ) +include { GENMAP_INDEX } from '../../../../modules/genmap/index/main.nf' addParams( options: [:] ) workflow test_genmap_index { diff --git a/tests/software/genmap/index/test.yml b/tests/modules/genmap/index/test.yml similarity index 95% rename from tests/software/genmap/index/test.yml rename to tests/modules/genmap/index/test.yml index ba92d91c..8b06e75e 100644 --- a/tests/software/genmap/index/test.yml +++ b/tests/modules/genmap/index/test.yml @@ -1,5 +1,5 @@ - name: genmap index test_genmap_index - command: nextflow run tests/software/genmap/index -entry test_genmap_index -c tests/config/nextflow.config + command: nextflow run tests/modules/genmap/index -entry test_genmap_index -c tests/config/nextflow.config tags: - genmap - genmap/index diff --git a/tests/software/genmap/mappability/main.nf b/tests/modules/genmap/mappability/main.nf similarity index 50% rename from tests/software/genmap/mappability/main.nf rename to tests/modules/genmap/mappability/main.nf index fc6febad..636ec0e4 100644 --- a/tests/software/genmap/mappability/main.nf +++ b/tests/modules/genmap/mappability/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { GENMAP_INDEX } from '../../../../software/genmap/index/main.nf' addParams( options: [:] ) -include { GENMAP_MAPPABILITY } from '../../../../software/genmap/mappability/main.nf' addParams( options: [args : '-K 50 -E 2 -w -t -bg'] ) +include { GENMAP_INDEX } from '../../../../modules/genmap/index/main.nf' addParams( options: [:] ) +include { GENMAP_MAPPABILITY } from '../../../../modules/genmap/mappability/main.nf' addParams( options: [args : '-K 50 -E 2 -w -t -bg'] ) workflow test_genmap_map { diff --git a/tests/software/genmap/mappability/test.yml b/tests/modules/genmap/mappability/test.yml similarity index 76% rename from tests/software/genmap/mappability/test.yml rename to tests/modules/genmap/mappability/test.yml index f544cd68..29a12de1 100644 --- a/tests/software/genmap/mappability/test.yml +++ b/tests/modules/genmap/mappability/test.yml @@ -1,5 +1,5 @@ - name: genmap mappability test_genmap_map - command: nextflow run tests/software/genmap/mappability -entry test_genmap_map -c tests/config/nextflow.config + command: nextflow run tests/modules/genmap/mappability -entry test_genmap_map -c tests/config/nextflow.config tags: - genmap - genmap/mappability diff --git a/tests/software/gffread/main.nf b/tests/modules/gffread/main.nf similarity index 68% rename from tests/software/gffread/main.nf rename to tests/modules/gffread/main.nf index f8dd155f..e7b5441a 100644 --- a/tests/software/gffread/main.nf +++ b/tests/modules/gffread/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GFFREAD } from '../../../software/gffread/main.nf' addParams( options: [:] ) +include { GFFREAD } from '../../../modules/gffread/main.nf' addParams( options: [:] ) workflow test_gffread { input = file(params.test_data['sarscov2']['genome']['genome_gff3'], checkIfExists: true) diff --git a/tests/software/gffread/test.yml b/tests/modules/gffread/test.yml similarity index 56% rename from tests/software/gffread/test.yml rename to tests/modules/gffread/test.yml index 27b39dec..21a7bb6a 100644 --- a/tests/software/gffread/test.yml +++ b/tests/modules/gffread/test.yml @@ -1,5 +1,5 @@ - name: gffread - command: nextflow run ./tests/software/gffread/ -entry test_gffread -c tests/config/nextflow.config + command: nextflow run ./tests/modules/gffread/ -entry test_gffread -c tests/config/nextflow.config tags: - gffread files: diff --git a/tests/software/graphmap2/align/main.nf b/tests/modules/graphmap2/align/main.nf similarity index 67% rename from tests/software/graphmap2/align/main.nf rename to tests/modules/graphmap2/align/main.nf index 7026c915..0cd885ab 100644 --- a/tests/software/graphmap2/align/main.nf +++ b/tests/modules/graphmap2/align/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { GRAPHMAP2_INDEX } from '../../../../software/graphmap2/index/main.nf' addParams( options: [:] ) -include { GRAPHMAP2_ALIGN } from '../../../../software/graphmap2/align/main.nf' addParams( options: [:] ) +include { GRAPHMAP2_INDEX } from '../../../../modules/graphmap2/index/main.nf' addParams( options: [:] ) +include { GRAPHMAP2_ALIGN } from '../../../../modules/graphmap2/align/main.nf' addParams( options: [:] ) workflow test_graphmap2_align { diff --git a/tests/software/graphmap2/align/test.yml b/tests/modules/graphmap2/align/test.yml similarity index 50% rename from tests/software/graphmap2/align/test.yml rename to tests/modules/graphmap2/align/test.yml index 698f6f50..7e90b8d4 100644 --- a/tests/software/graphmap2/align/test.yml +++ b/tests/modules/graphmap2/align/test.yml @@ -1,5 +1,5 @@ - name: graphmap2 align - command: nextflow run ./tests/software/graphmap2/align -entry test_graphmap2_align -c tests/config/nextflow.config + command: nextflow run ./tests/modules/graphmap2/align -entry test_graphmap2_align -c tests/config/nextflow.config tags: - graphmap2 - graphmap2/align diff --git a/tests/software/graphmap2/index/main.nf b/tests/modules/graphmap2/index/main.nf similarity index 66% rename from tests/software/graphmap2/index/main.nf rename to tests/modules/graphmap2/index/main.nf index d1873678..66347f06 100644 --- a/tests/software/graphmap2/index/main.nf +++ b/tests/modules/graphmap2/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GRAPHMAP2_INDEX } from '../../../../software/graphmap2/index/main.nf' addParams( options: [:] ) +include { GRAPHMAP2_INDEX } from '../../../../modules/graphmap2/index/main.nf' addParams( options: [:] ) workflow test_graphmap2_index { diff --git a/tests/software/graphmap2/index/test.yml b/tests/modules/graphmap2/index/test.yml similarity index 60% rename from tests/software/graphmap2/index/test.yml rename to tests/modules/graphmap2/index/test.yml index d6a8d166..15042e97 100644 --- a/tests/software/graphmap2/index/test.yml +++ b/tests/modules/graphmap2/index/test.yml @@ -1,5 +1,5 @@ - name: graphmap2 index - command: nextflow run ./tests/software/graphmap2/index -entry test_graphmap2_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/graphmap2/index -entry test_graphmap2_index -c tests/config/nextflow.config tags: - graphmap2 - graphmap2/index diff --git a/tests/software/gubbins/main.nf b/tests/modules/gubbins/main.nf similarity index 69% rename from tests/software/gubbins/main.nf rename to tests/modules/gubbins/main.nf index 78c5d2c1..87e164d0 100644 --- a/tests/software/gubbins/main.nf +++ b/tests/modules/gubbins/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GUBBINS } from '../../../software/gubbins/main.nf' addParams( options: [:] ) +include { GUBBINS } from '../../../modules/gubbins/main.nf' addParams( options: [:] ) workflow test_gubbins { input = file(params.test_data['sarscov2']['genome']['all_sites_fas'], checkIfExists: true) diff --git a/tests/software/gubbins/test.yml b/tests/modules/gubbins/test.yml similarity index 91% rename from tests/software/gubbins/test.yml rename to tests/modules/gubbins/test.yml index 15b720c9..31e426b1 100644 --- a/tests/software/gubbins/test.yml +++ b/tests/modules/gubbins/test.yml @@ -1,5 +1,5 @@ - name: gubbins - command: nextflow run ./tests/software/gubbins -entry test_gubbins -c tests/config/nextflow.config + command: nextflow run ./tests/modules/gubbins -entry test_gubbins -c tests/config/nextflow.config tags: - gubbins files: diff --git a/tests/software/gunzip/main.nf b/tests/modules/gunzip/main.nf similarity index 69% rename from tests/software/gunzip/main.nf rename to tests/modules/gunzip/main.nf index 4d458a5f..5a24e742 100644 --- a/tests/software/gunzip/main.nf +++ b/tests/modules/gunzip/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { GUNZIP } from '../../../software/gunzip/main.nf' addParams( options: [:] ) +include { GUNZIP } from '../../../modules/gunzip/main.nf' addParams( options: [:] ) workflow test_gunzip { input = file(params.test_data['sarscov2']['illumina']['test_1_fastq_gz'], checkIfExists: true) diff --git a/tests/software/gunzip/test.yml b/tests/modules/gunzip/test.yml similarity index 57% rename from tests/software/gunzip/test.yml rename to tests/modules/gunzip/test.yml index eb02d49b..70012b21 100644 --- a/tests/software/gunzip/test.yml +++ b/tests/modules/gunzip/test.yml @@ -1,5 +1,5 @@ - name: gunzip - command: nextflow run ./tests/software/gunzip -entry test_gunzip -c tests/config/nextflow.config + command: nextflow run ./tests/modules/gunzip -entry test_gunzip -c tests/config/nextflow.config tags: - gunzip files: diff --git a/tests/software/hisat2/align/main.nf b/tests/modules/hisat2/align/main.nf similarity index 80% rename from tests/software/hisat2/align/main.nf rename to tests/modules/hisat2/align/main.nf index 4418d042..7bbe3a4b 100644 --- a/tests/software/hisat2/align/main.nf +++ b/tests/modules/hisat2/align/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { HISAT2_EXTRACTSPLICESITES } from '../../../../software/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) -include { HISAT2_BUILD } from '../../../../software/hisat2/build/main.nf' addParams( options: [:] ) -include { HISAT2_ALIGN } from '../../../../software/hisat2/align/main.nf' addParams( options: [:] ) +include { HISAT2_EXTRACTSPLICESITES } from '../../../../modules/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) +include { HISAT2_BUILD } from '../../../../modules/hisat2/build/main.nf' addParams( options: [:] ) +include { HISAT2_ALIGN } from '../../../../modules/hisat2/align/main.nf' addParams( options: [:] ) workflow test_hisat2_align_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/hisat2/align/test.yml b/tests/modules/hisat2/align/test.yml similarity index 89% rename from tests/software/hisat2/align/test.yml rename to tests/modules/hisat2/align/test.yml index e9a89de6..9a1fb271 100644 --- a/tests/software/hisat2/align/test.yml +++ b/tests/modules/hisat2/align/test.yml @@ -1,5 +1,5 @@ - name: hisat2 align test_hisat2_align_single_end - command: nextflow run tests/software/hisat2/align -entry test_hisat2_align_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/hisat2/align -entry test_hisat2_align_single_end -c tests/config/nextflow.config tags: - hisat2 - hisat2/align @@ -27,7 +27,7 @@ md5sum: c25be5f8b0378abf7a58c8a880b87626 - name: hisat2 align test_hisat2_align_paired_end - command: nextflow run tests/software/hisat2/align -entry test_hisat2_align_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/hisat2/align -entry test_hisat2_align_paired_end -c tests/config/nextflow.config tags: - hisat2 - hisat2/align diff --git a/tests/software/hisat2/build b/tests/modules/hisat2/build similarity index 100% rename from tests/software/hisat2/build rename to tests/modules/hisat2/build diff --git a/tests/software/hisat2/build_test/main.nf b/tests/modules/hisat2/build_test/main.nf similarity index 62% rename from tests/software/hisat2/build_test/main.nf rename to tests/modules/hisat2/build_test/main.nf index 761f8be5..f40f47cc 100644 --- a/tests/software/hisat2/build_test/main.nf +++ b/tests/modules/hisat2/build_test/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { HISAT2_EXTRACTSPLICESITES } from '../../../../software/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) -include { HISAT2_BUILD } from '../../../../software/hisat2/build/main.nf' addParams( options: [:] ) +include { HISAT2_EXTRACTSPLICESITES } from '../../../../modules/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) +include { HISAT2_BUILD } from '../../../../modules/hisat2/build/main.nf' addParams( options: [:] ) workflow test_hisat2_build { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/hisat2/build_test/test.yml b/tests/modules/hisat2/build_test/test.yml similarity index 88% rename from tests/software/hisat2/build_test/test.yml rename to tests/modules/hisat2/build_test/test.yml index 28223354..2a8fe324 100644 --- a/tests/software/hisat2/build_test/test.yml +++ b/tests/modules/hisat2/build_test/test.yml @@ -1,5 +1,5 @@ - name: hisat2 build test_hisat2_build - command: nextflow run tests/software/hisat2/build_test -entry test_hisat2_build -c tests/config/nextflow.config + command: nextflow run tests/modules/hisat2/build_test -entry test_hisat2_build -c tests/config/nextflow.config tags: - hisat2 - hisat2/build diff --git a/tests/software/hisat2/extractsplicesites/main.nf b/tests/modules/hisat2/extractsplicesites/main.nf similarity index 64% rename from tests/software/hisat2/extractsplicesites/main.nf rename to tests/modules/hisat2/extractsplicesites/main.nf index 03fb4e68..5c7e17b9 100644 --- a/tests/software/hisat2/extractsplicesites/main.nf +++ b/tests/modules/hisat2/extractsplicesites/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { HISAT2_EXTRACTSPLICESITES } from '../../../../software/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) +include { HISAT2_EXTRACTSPLICESITES } from '../../../../modules/hisat2/extractsplicesites/main.nf' addParams( options: [:] ) workflow test_hisat2_extractsplicesites { gtf = file(params.test_data['sarscov2']['genome']['genome_gtf'], checkIfExists: true) diff --git a/tests/software/hisat2/extractsplicesites/test.yml b/tests/modules/hisat2/extractsplicesites/test.yml similarity index 62% rename from tests/software/hisat2/extractsplicesites/test.yml rename to tests/modules/hisat2/extractsplicesites/test.yml index 8cb8157e..a3e29346 100644 --- a/tests/software/hisat2/extractsplicesites/test.yml +++ b/tests/modules/hisat2/extractsplicesites/test.yml @@ -1,5 +1,5 @@ - name: hisat2 extractsplicesites test_hisat2_extractsplicesites - command: nextflow run tests/software/hisat2/extractsplicesites -entry test_hisat2_extractsplicesites -c tests/config/nextflow.config + command: nextflow run tests/modules/hisat2/extractsplicesites -entry test_hisat2_extractsplicesites -c tests/config/nextflow.config tags: - hisat2 - hisat2/extractsplicesites diff --git a/tests/software/hmmer/hmmalign/main.nf b/tests/modules/hmmer/hmmalign/main.nf similarity index 83% rename from tests/software/hmmer/hmmalign/main.nf rename to tests/modules/hmmer/hmmalign/main.nf index 7e0241ed..55194dc6 100644 --- a/tests/software/hmmer/hmmalign/main.nf +++ b/tests/modules/hmmer/hmmalign/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { HMMER_HMMALIGN } from '../../../../software/hmmer/hmmalign/main.nf' addParams( options: [:] ) +include { HMMER_HMMALIGN } from '../../../../modules/hmmer/hmmalign/main.nf' addParams( options: [:] ) workflow test_hmmer_hmmalign { diff --git a/tests/software/hmmer/hmmalign/test.yml b/tests/modules/hmmer/hmmalign/test.yml similarity index 61% rename from tests/software/hmmer/hmmalign/test.yml rename to tests/modules/hmmer/hmmalign/test.yml index 70a46170..4afb34ca 100644 --- a/tests/software/hmmer/hmmalign/test.yml +++ b/tests/modules/hmmer/hmmalign/test.yml @@ -1,5 +1,5 @@ - name: hmmer hmmalign test_hmmer_hmmalign - command: nextflow run tests/software/hmmer/hmmalign -entry test_hmmer_hmmalign -c tests/config/nextflow.config + command: nextflow run tests/modules/hmmer/hmmalign -entry test_hmmer_hmmalign -c tests/config/nextflow.config tags: - hmmer - hmmer/hmmalign diff --git a/tests/software/homer/annotatepeaks/main.nf b/tests/modules/homer/annotatepeaks/main.nf similarity index 79% rename from tests/software/homer/annotatepeaks/main.nf rename to tests/modules/homer/annotatepeaks/main.nf index 691e5b46..b146c857 100644 --- a/tests/software/homer/annotatepeaks/main.nf +++ b/tests/modules/homer/annotatepeaks/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { HOMER_ANNOTATEPEAKS } from '../../../../software/homer/annotatepeaks/main.nf' addParams( options: [:] ) +include { HOMER_ANNOTATEPEAKS } from '../../../../modules/homer/annotatepeaks/main.nf' addParams( options: [:] ) workflow test_homer_annotatepeaks { input = [ [ id:'test'], diff --git a/tests/software/homer/annotatepeaks/test.yml b/tests/modules/homer/annotatepeaks/test.yml similarity index 55% rename from tests/software/homer/annotatepeaks/test.yml rename to tests/modules/homer/annotatepeaks/test.yml index f0ccd92f..fed0f82e 100644 --- a/tests/software/homer/annotatepeaks/test.yml +++ b/tests/modules/homer/annotatepeaks/test.yml @@ -1,5 +1,5 @@ - name: homer annotatepeaks test_homer_annotatepeaks - command: nextflow run tests/software/homer/annotatepeaks -entry test_homer_annotatepeaks -c tests/config/nextflow.config + command: nextflow run tests/modules/homer/annotatepeaks -entry test_homer_annotatepeaks -c tests/config/nextflow.config tags: - homer - homer/annotatepeaks diff --git a/tests/software/iqtree/main.nf b/tests/modules/iqtree/main.nf similarity index 71% rename from tests/software/iqtree/main.nf rename to tests/modules/iqtree/main.nf index 8191ea6a..977d7c0a 100644 --- a/tests/software/iqtree/main.nf +++ b/tests/modules/iqtree/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { IQTREE } from '../../../software/iqtree/main.nf' addParams( options: [:] ) +include { IQTREE } from '../../../modules/iqtree/main.nf' addParams( options: [:] ) workflow test_iqtree { diff --git a/tests/software/iqtree/test.yml b/tests/modules/iqtree/test.yml similarity index 69% rename from tests/software/iqtree/test.yml rename to tests/modules/iqtree/test.yml index 6c3fb407..7bacd0e6 100644 --- a/tests/software/iqtree/test.yml +++ b/tests/modules/iqtree/test.yml @@ -1,5 +1,5 @@ - name: iqtree - command: nextflow run ./tests/software/iqtree -entry test_iqtree -c tests/config/nextflow.config + command: nextflow run ./tests/modules/iqtree -entry test_iqtree -c tests/config/nextflow.config tags: - iqtree files: diff --git a/tests/software/ivar/consensus/main.nf b/tests/modules/ivar/consensus/main.nf similarity index 75% rename from tests/software/ivar/consensus/main.nf rename to tests/modules/ivar/consensus/main.nf index 29dd564b..5e0457b5 100644 --- a/tests/software/ivar/consensus/main.nf +++ b/tests/modules/ivar/consensus/main.nf @@ -3,7 +3,7 @@ nextflow.enable.dsl = 2 params.save_mpileup = true -include { IVAR_CONSENSUS } from '../../../../software/ivar/consensus/main.nf' addParams( [ options: [args2: '-aa -A -d 0 -Q 0'] ] ) +include { IVAR_CONSENSUS } from '../../../../modules/ivar/consensus/main.nf' addParams( [ options: [args2: '-aa -A -d 0 -Q 0'] ] ) workflow test_ivar_consensus { input = [ [ id:'test'], diff --git a/tests/software/ivar/consensus/test.yml b/tests/modules/ivar/consensus/test.yml similarity index 73% rename from tests/software/ivar/consensus/test.yml rename to tests/modules/ivar/consensus/test.yml index 0c5db3f2..071fdc98 100644 --- a/tests/software/ivar/consensus/test.yml +++ b/tests/modules/ivar/consensus/test.yml @@ -1,5 +1,5 @@ - name: ivar consensus - command: nextflow run ./tests/software/ivar/consensus -entry test_ivar_consensus -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ivar/consensus -entry test_ivar_consensus -c tests/config/nextflow.config tags: - ivar - ivar/consensus diff --git a/tests/software/ivar/trim/main.nf b/tests/modules/ivar/trim/main.nf similarity index 85% rename from tests/software/ivar/trim/main.nf rename to tests/modules/ivar/trim/main.nf index ab87ee9a..05b390b0 100644 --- a/tests/software/ivar/trim/main.nf +++ b/tests/modules/ivar/trim/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { IVAR_TRIM } from '../../../../software/ivar/trim/main.nf' addParams([:]) +include { IVAR_TRIM } from '../../../../modules/ivar/trim/main.nf' addParams([:]) workflow test_ivar_trim { input = [ [ id:'test'], diff --git a/tests/software/ivar/trim/test.yml b/tests/modules/ivar/trim/test.yml similarity index 57% rename from tests/software/ivar/trim/test.yml rename to tests/modules/ivar/trim/test.yml index b0e94858..013c6365 100644 --- a/tests/software/ivar/trim/test.yml +++ b/tests/modules/ivar/trim/test.yml @@ -1,5 +1,5 @@ - name: ivar trim - command: nextflow run ./tests/software/ivar/trim -entry test_ivar_trim -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ivar/trim -entry test_ivar_trim -c tests/config/nextflow.config tags: - ivar - ivar/trim diff --git a/tests/software/ivar/variants/main.nf b/tests/modules/ivar/variants/main.nf similarity index 94% rename from tests/software/ivar/variants/main.nf rename to tests/modules/ivar/variants/main.nf index bafb3d18..5358e785 100644 --- a/tests/software/ivar/variants/main.nf +++ b/tests/modules/ivar/variants/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { IVAR_VARIANTS } from '../../../../software/ivar/variants/main.nf' addParams([:]) +include { IVAR_VARIANTS } from '../../../../modules/ivar/variants/main.nf' addParams([:]) workflow test_ivar_variants_no_gff_no_mpileup { params.gff = false diff --git a/tests/software/ivar/variants/test.yml b/tests/modules/ivar/variants/test.yml similarity index 58% rename from tests/software/ivar/variants/test.yml rename to tests/modules/ivar/variants/test.yml index 642a501d..a8be12a8 100644 --- a/tests/software/ivar/variants/test.yml +++ b/tests/modules/ivar/variants/test.yml @@ -1,5 +1,5 @@ - name: ivar variants no gff no mpileup - command: nextflow run ./tests/software/ivar/variants -entry test_ivar_variants_no_gff_no_mpileup -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ivar/variants -entry test_ivar_variants_no_gff_no_mpileup -c tests/config/nextflow.config tags: - ivar - ivar/variants @@ -8,7 +8,7 @@ md5sum: 728f1430f2402861396d9953465ac706 - name: ivar variants no gff with mpileup - command: nextflow run ./tests/software/ivar/variants -entry test_ivar_variants_no_gff_with_mpileup -c tests/config/nextflow.config --save_mpileup + command: nextflow run ./tests/modules/ivar/variants -entry test_ivar_variants_no_gff_with_mpileup -c tests/config/nextflow.config --save_mpileup tags: - ivar - ivar/variants @@ -19,7 +19,7 @@ md5sum: 56c4cd5a4ecb7d6364878818f46ae256 - name: ivar variants with gff with mpileup - command: nextflow run ./tests/software/ivar/variants -entry test_ivar_variants_with_gff_with_mpileup -c tests/config/nextflow.config --gff tests/data/gff/sarscov2/MN908947.3.gff3 --save_mpileup + command: nextflow run ./tests/modules/ivar/variants -entry test_ivar_variants_with_gff_with_mpileup -c tests/config/nextflow.config --gff tests/data/gff/sarscov2/MN908947.3.gff3 --save_mpileup tags: - ivar - ivar/variants diff --git a/tests/software/kallisto/index/main.nf b/tests/modules/kallisto/index/main.nf similarity index 69% rename from tests/software/kallisto/index/main.nf rename to tests/modules/kallisto/index/main.nf index 90a8f657..bab78f51 100644 --- a/tests/software/kallisto/index/main.nf +++ b/tests/modules/kallisto/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { KALLISTO_INDEX } from '../../../../software/kallisto/index/main.nf' addParams( options: [:] ) +include { KALLISTO_INDEX } from '../../../../modules/kallisto/index/main.nf' addParams( options: [:] ) workflow test_kallisto_index { diff --git a/tests/software/kallisto/index/test.yml b/tests/modules/kallisto/index/test.yml similarity index 61% rename from tests/software/kallisto/index/test.yml rename to tests/modules/kallisto/index/test.yml index 77db7bbd..b9dd23ad 100644 --- a/tests/software/kallisto/index/test.yml +++ b/tests/modules/kallisto/index/test.yml @@ -1,5 +1,5 @@ - name: kallisto index test_kallisto_index - command: nextflow run tests/software/kallisto/index -entry test_kallisto_index -c tests/config/nextflow.config + command: nextflow run tests/modules/kallisto/index -entry test_kallisto_index -c tests/config/nextflow.config tags: - kallisto - kallisto/index diff --git a/tests/software/kallistobustools/count/main.nf b/tests/modules/kallistobustools/count/main.nf similarity index 88% rename from tests/software/kallistobustools/count/main.nf rename to tests/modules/kallistobustools/count/main.nf index 1fe6b649..051195af 100644 --- a/tests/software/kallistobustools/count/main.nf +++ b/tests/modules/kallistobustools/count/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { KALLISTOBUSTOOLS_COUNT } from '../../../../software/kallistobustools/count/main.nf' addParams( options: [args:"--cellranger"] ) +include { KALLISTOBUSTOOLS_COUNT } from '../../../../modules/kallistobustools/count/main.nf' addParams( options: [args:"--cellranger"] ) workflow test_kallistobustools_count { diff --git a/tests/software/kallistobustools/count/test.yml b/tests/modules/kallistobustools/count/test.yml similarity index 94% rename from tests/software/kallistobustools/count/test.yml rename to tests/modules/kallistobustools/count/test.yml index 2a620dd4..8ff9a66f 100644 --- a/tests/software/kallistobustools/count/test.yml +++ b/tests/modules/kallistobustools/count/test.yml @@ -1,5 +1,5 @@ - name: kallistobustools count test_kallistobustools_count - command: nextflow run tests/software/kallistobustools/count -entry test_kallistobustools_count -c tests/config/nextflow.config + command: nextflow run tests/modules/kallistobustools/count -entry test_kallistobustools_count -c tests/config/nextflow.config tags: - kallistobustools/count - kallistobustools diff --git a/tests/software/kallistobustools/ref/main.nf b/tests/modules/kallistobustools/ref/main.nf similarity index 90% rename from tests/software/kallistobustools/ref/main.nf rename to tests/modules/kallistobustools/ref/main.nf index efa1e7ee..1ecfa339 100644 --- a/tests/software/kallistobustools/ref/main.nf +++ b/tests/modules/kallistobustools/ref/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { KALLISTOBUSTOOLS_REF } from '../../../../software/kallistobustools/ref/main.nf' addParams( options: [:] ) +include { KALLISTOBUSTOOLS_REF } from '../../../../modules/kallistobustools/ref/main.nf' addParams( options: [:] ) workflow test_kallistobustools_ref_standard { diff --git a/tests/software/kallistobustools/ref/test.yml b/tests/modules/kallistobustools/ref/test.yml similarity index 82% rename from tests/software/kallistobustools/ref/test.yml rename to tests/modules/kallistobustools/ref/test.yml index b0d8fecd..1821533a 100644 --- a/tests/software/kallistobustools/ref/test.yml +++ b/tests/modules/kallistobustools/ref/test.yml @@ -1,5 +1,5 @@ - name: kallistobustools ref test_kallistobustools_ref_standard - command: nextflow run tests/software/kallistobustools/ref -entry test_kallistobustools_ref_standard -c tests/config/nextflow.config + command: nextflow run tests/modules/kallistobustools/ref -entry test_kallistobustools_ref_standard -c tests/config/nextflow.config tags: - kallistobustools/ref - kallistobustools @@ -12,7 +12,7 @@ md5sum: d5bcfd61ff078aa92e576abf8cc4fc08 - name: kallistobustools ref test_kallistobustools_ref_lamanno - command: nextflow run tests/software/kallistobustools/ref -entry test_kallistobustools_ref_lamanno -c tests/config/nextflow.config + command: nextflow run tests/modules/kallistobustools/ref -entry test_kallistobustools_ref_lamanno -c tests/config/nextflow.config tags: - kallistobustools/ref - kallistobustools @@ -31,7 +31,7 @@ md5sum: 7cae93dfb9a26f70adf4a57fe2a83027 - name: kallistobustools ref test_kallistobustools_ref_nucleus - command: nextflow run tests/software/kallistobustools/ref -entry test_kallistobustools_ref_nucleus -c tests/config/nextflow.config + command: nextflow run tests/modules/kallistobustools/ref -entry test_kallistobustools_ref_nucleus -c tests/config/nextflow.config tags: - kallistobustools/ref - kallistobustools diff --git a/tests/software/kraken2/kraken2/main.nf b/tests/modules/kraken2/kraken2/main.nf similarity index 81% rename from tests/software/kraken2/kraken2/main.nf rename to tests/modules/kraken2/kraken2/main.nf index ba331b46..e5638ec5 100644 --- a/tests/software/kraken2/kraken2/main.nf +++ b/tests/modules/kraken2/kraken2/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../../software/untar/main.nf' addParams( options: [:] ) -include { KRAKEN2_KRAKEN2 } from '../../../../software/kraken2/kraken2/main.nf' addParams( options: [:] ) +include { UNTAR } from '../../../../modules/untar/main.nf' addParams( options: [:] ) +include { KRAKEN2_KRAKEN2 } from '../../../../modules/kraken2/kraken2/main.nf' addParams( options: [:] ) workflow test_kraken2_kraken2_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/kraken2/kraken2/test.yml b/tests/modules/kraken2/kraken2/test.yml similarity index 76% rename from tests/software/kraken2/kraken2/test.yml rename to tests/modules/kraken2/kraken2/test.yml index 8a3c6bf4..688fb34c 100644 --- a/tests/software/kraken2/kraken2/test.yml +++ b/tests/modules/kraken2/kraken2/test.yml @@ -1,5 +1,5 @@ - name: kraken2 kraken2 single-end - command: nextflow run ./tests/software/kraken2/kraken2 -entry test_kraken2_kraken2_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/kraken2/kraken2 -entry test_kraken2_kraken2_single_end -c tests/config/nextflow.config tags: - kraken2 - kraken2/kraken2 @@ -12,7 +12,7 @@ md5sum: 4227755fe40478b8d7dc8634b489761e - name: kraken2 kraken2 paired-end - command: nextflow run ./tests/software/kraken2/kraken2 -entry test_kraken2_kraken2_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/kraken2/kraken2 -entry test_kraken2_kraken2_paired_end -c tests/config/nextflow.config tags: - kraken2 - kraken2/kraken2 diff --git a/tests/software/last/dotplot/main.nf b/tests/modules/last/dotplot/main.nf similarity index 72% rename from tests/software/last/dotplot/main.nf rename to tests/modules/last/dotplot/main.nf index 14181a78..b92ed270 100644 --- a/tests/software/last/dotplot/main.nf +++ b/tests/modules/last/dotplot/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_DOTPLOT } from '../../../../software/last/dotplot/main.nf' addParams( options: [:] ) +include { LAST_DOTPLOT } from '../../../../modules/last/dotplot/main.nf' addParams( options: [:] ) workflow test_last_dotplot { diff --git a/tests/software/last/dotplot/test.yml b/tests/modules/last/dotplot/test.yml similarity index 60% rename from tests/software/last/dotplot/test.yml rename to tests/modules/last/dotplot/test.yml index 4b210ec4..177e377b 100644 --- a/tests/software/last/dotplot/test.yml +++ b/tests/modules/last/dotplot/test.yml @@ -1,5 +1,5 @@ - name: last dotplot test_last_dotplot - command: nextflow run tests/software/last/dotplot -entry test_last_dotplot -c tests/config/nextflow.config + command: nextflow run tests/modules/last/dotplot -entry test_last_dotplot -c tests/config/nextflow.config tags: - last/dotplot - last diff --git a/tests/software/last/lastal/main.nf b/tests/modules/last/lastal/main.nf similarity index 82% rename from tests/software/last/lastal/main.nf rename to tests/modules/last/lastal/main.nf index a3639529..262c8f5f 100644 --- a/tests/software/last/lastal/main.nf +++ b/tests/modules/last/lastal/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../../software/untar/main.nf' addParams( options: [:] ) -include { LAST_LASTAL } from '../../../../software/last/lastal/main.nf' addParams( options: [:] ) +include { UNTAR } from '../../../../modules/untar/main.nf' addParams( options: [:] ) +include { LAST_LASTAL } from '../../../../modules/last/lastal/main.nf' addParams( options: [:] ) workflow test_last_lastal_with_dummy_param_file { diff --git a/tests/software/last/lastal/test.yml b/tests/modules/last/lastal/test.yml similarity index 86% rename from tests/software/last/lastal/test.yml rename to tests/modules/last/lastal/test.yml index 13d243af..c6966a5f 100644 --- a/tests/software/last/lastal/test.yml +++ b/tests/modules/last/lastal/test.yml @@ -1,5 +1,5 @@ - name: last lastal test_last_lastal_with_dummy_param_file - command: nextflow run tests/software/last/lastal -entry test_last_lastal_with_dummy_param_file -c tests/config/nextflow.config + command: nextflow run tests/modules/last/lastal -entry test_last_lastal_with_dummy_param_file -c tests/config/nextflow.config tags: - last - last/lastal @@ -22,7 +22,7 @@ md5sum: b7c40f06b1309dc6f37849eeb86dfd22 - name: last lastal test_last_lastal_with_real_param_file - command: nextflow run tests/software/last/lastal -entry test_last_lastal_with_real_param_file -c tests/config/nextflow.config + command: nextflow run tests/modules/last/lastal -entry test_last_lastal_with_real_param_file -c tests/config/nextflow.config tags: - last - last/lastal diff --git a/tests/software/last/lastdb/main.nf b/tests/modules/last/lastdb/main.nf similarity index 81% rename from tests/software/last/lastdb/main.nf rename to tests/modules/last/lastdb/main.nf index 4a5a2021..2f11bee4 100644 --- a/tests/software/last/lastdb/main.nf +++ b/tests/modules/last/lastdb/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_LASTDB } from '../../../../software/last/lastdb/main.nf' addParams( options: ['args': '-Q0'] ) +include { LAST_LASTDB } from '../../../../modules/last/lastdb/main.nf' addParams( options: ['args': '-Q0'] ) workflow test_last_lastdb { diff --git a/tests/software/last/lastdb/test.yml b/tests/modules/last/lastdb/test.yml similarity index 85% rename from tests/software/last/lastdb/test.yml rename to tests/modules/last/lastdb/test.yml index 5dbc976b..fed274eb 100644 --- a/tests/software/last/lastdb/test.yml +++ b/tests/modules/last/lastdb/test.yml @@ -1,5 +1,5 @@ - name: last lastdb test_last_lastdb - command: nextflow run tests/software/last/lastdb -entry test_last_lastdb -c tests/config/nextflow.config + command: nextflow run tests/modules/last/lastdb -entry test_last_lastdb -c tests/config/nextflow.config tags: - last/lastdb - last @@ -20,7 +20,7 @@ md5sum: b7c40f06b1309dc6f37849eeb86dfd22 - name: last lastdb test_last_lastdb_gzipped_input - command: nextflow run tests/software/last/lastdb -entry test_last_lastdb_gzipped_input -c tests/config/nextflow.config + command: nextflow run tests/modules/last/lastdb -entry test_last_lastdb_gzipped_input -c tests/config/nextflow.config tags: - last/lastdb - last diff --git a/tests/software/last/mafconvert/main.nf b/tests/modules/last/mafconvert/main.nf similarity index 72% rename from tests/software/last/mafconvert/main.nf rename to tests/modules/last/mafconvert/main.nf index 4b62d652..7864c68a 100644 --- a/tests/software/last/mafconvert/main.nf +++ b/tests/modules/last/mafconvert/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_MAFCONVERT } from '../../../../software/last/mafconvert/main.nf' addParams( options: [:] ) +include { LAST_MAFCONVERT } from '../../../../modules/last/mafconvert/main.nf' addParams( options: [:] ) workflow test_last_mafconvert { diff --git a/tests/software/last/mafconvert/test.yml b/tests/modules/last/mafconvert/test.yml similarity index 62% rename from tests/software/last/mafconvert/test.yml rename to tests/modules/last/mafconvert/test.yml index 0b508dfe..35c65ce9 100644 --- a/tests/software/last/mafconvert/test.yml +++ b/tests/modules/last/mafconvert/test.yml @@ -1,5 +1,5 @@ - name: last mafconvert test_last_mafconvert - command: nextflow run tests/software/last/mafconvert -entry test_last_mafconvert -c tests/config/nextflow.config + command: nextflow run tests/modules/last/mafconvert -entry test_last_mafconvert -c tests/config/nextflow.config tags: - last/mafconvert - last diff --git a/tests/software/last/mafswap/main.nf b/tests/modules/last/mafswap/main.nf similarity index 72% rename from tests/software/last/mafswap/main.nf rename to tests/modules/last/mafswap/main.nf index f531ab60..3bb72d63 100644 --- a/tests/software/last/mafswap/main.nf +++ b/tests/modules/last/mafswap/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_MAFSWAP } from '../../../../software/last/mafswap/main.nf' addParams( options: [:] ) +include { LAST_MAFSWAP } from '../../../../modules/last/mafswap/main.nf' addParams( options: [:] ) workflow test_last_mafswap { diff --git a/tests/software/last/mafswap/test.yml b/tests/modules/last/mafswap/test.yml similarity index 63% rename from tests/software/last/mafswap/test.yml rename to tests/modules/last/mafswap/test.yml index 1e6b2f4d..c7e3778d 100644 --- a/tests/software/last/mafswap/test.yml +++ b/tests/modules/last/mafswap/test.yml @@ -1,5 +1,5 @@ - name: last mafswap test_last_mafswap - command: nextflow run tests/software/last/mafswap -entry test_last_mafswap -c tests/config/nextflow.config + command: nextflow run tests/modules/last/mafswap -entry test_last_mafswap -c tests/config/nextflow.config tags: - last - last/mafswap diff --git a/tests/software/last/postmask/main.nf b/tests/modules/last/postmask/main.nf similarity index 69% rename from tests/software/last/postmask/main.nf rename to tests/modules/last/postmask/main.nf index aafd3bfc..c30ac806 100644 --- a/tests/software/last/postmask/main.nf +++ b/tests/modules/last/postmask/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_POSTMASK } from '../../../../software/last/postmask/main.nf' addParams( options: [suffix:'.postmask'] ) +include { LAST_POSTMASK } from '../../../../modules/last/postmask/main.nf' addParams( options: [suffix:'.postmask'] ) workflow test_last_postmask { diff --git a/tests/software/last/postmask/test.yml b/tests/modules/last/postmask/test.yml similarity index 63% rename from tests/software/last/postmask/test.yml rename to tests/modules/last/postmask/test.yml index 1ca73c9a..57aea822 100644 --- a/tests/software/last/postmask/test.yml +++ b/tests/modules/last/postmask/test.yml @@ -1,5 +1,5 @@ - name: last postmask test_last_postmask - command: nextflow run tests/software/last/postmask -entry test_last_postmask -c tests/config/nextflow.config + command: nextflow run tests/modules/last/postmask -entry test_last_postmask -c tests/config/nextflow.config tags: - last - last/postmask diff --git a/tests/software/last/split/main.nf b/tests/modules/last/split/main.nf similarity index 70% rename from tests/software/last/split/main.nf rename to tests/modules/last/split/main.nf index 03bfd11c..19d899ab 100644 --- a/tests/software/last/split/main.nf +++ b/tests/modules/last/split/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LAST_SPLIT } from '../../../../software/last/split/main.nf' addParams( options: ['suffix':'.split'] ) +include { LAST_SPLIT } from '../../../../modules/last/split/main.nf' addParams( options: ['suffix':'.split'] ) workflow test_last_split { diff --git a/tests/software/last/split/test.yml b/tests/modules/last/split/test.yml similarity index 63% rename from tests/software/last/split/test.yml rename to tests/modules/last/split/test.yml index 01ac8348..d57d7477 100644 --- a/tests/software/last/split/test.yml +++ b/tests/modules/last/split/test.yml @@ -1,5 +1,5 @@ - name: last split test_last_split - command: nextflow run tests/software/last/split -entry test_last_split -c tests/config/nextflow.config + command: nextflow run tests/modules/last/split -entry test_last_split -c tests/config/nextflow.config tags: - last - last/split diff --git a/tests/software/last/train/main.nf b/tests/modules/last/train/main.nf similarity index 67% rename from tests/software/last/train/main.nf rename to tests/modules/last/train/main.nf index 8449f878..26e318c3 100644 --- a/tests/software/last/train/main.nf +++ b/tests/modules/last/train/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../../software/untar/main.nf' addParams( options: [:] ) -include { LAST_TRAIN } from '../../../../software/last/train/main.nf' addParams( options: [:] ) +include { UNTAR } from '../../../../modules/untar/main.nf' addParams( options: [:] ) +include { LAST_TRAIN } from '../../../../modules/last/train/main.nf' addParams( options: [:] ) workflow test_last_train { diff --git a/tests/software/last/train/test.yml b/tests/modules/last/train/test.yml similarity index 88% rename from tests/software/last/train/test.yml rename to tests/modules/last/train/test.yml index 2f356c3f..18eec951 100644 --- a/tests/software/last/train/test.yml +++ b/tests/modules/last/train/test.yml @@ -1,5 +1,5 @@ - name: last train test_last_train - command: nextflow run tests/software/last/train -entry test_last_train -c tests/config/nextflow.config + command: nextflow run tests/modules/last/train -entry test_last_train -c tests/config/nextflow.config tags: - last/train - last diff --git a/tests/software/lofreq/call/main.nf b/tests/modules/lofreq/call/main.nf similarity index 79% rename from tests/software/lofreq/call/main.nf rename to tests/modules/lofreq/call/main.nf index 235e54aa..2c306fd1 100644 --- a/tests/software/lofreq/call/main.nf +++ b/tests/modules/lofreq/call/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LOFREQ_CALL } from '../../../../software/lofreq/call/main.nf' addParams( options: [:] ) +include { LOFREQ_CALL } from '../../../../modules/lofreq/call/main.nf' addParams( options: [:] ) workflow test_lofreq_call { diff --git a/tests/software/lofreq/call/test.yml b/tests/modules/lofreq/call/test.yml similarity index 61% rename from tests/software/lofreq/call/test.yml rename to tests/modules/lofreq/call/test.yml index 518c4ea1..a809b2da 100644 --- a/tests/software/lofreq/call/test.yml +++ b/tests/modules/lofreq/call/test.yml @@ -1,5 +1,5 @@ - name: lofreq call test_lofreq_call - command: nextflow run tests/software/lofreq/call -entry test_lofreq_call -c tests/config/nextflow.config + command: nextflow run tests/modules/lofreq/call -entry test_lofreq_call -c tests/config/nextflow.config tags: - lofreq - lofreq/call diff --git a/tests/software/lofreq/callparallel/main.nf b/tests/modules/lofreq/callparallel/main.nf similarity index 84% rename from tests/software/lofreq/callparallel/main.nf rename to tests/modules/lofreq/callparallel/main.nf index 7ed3ac59..724bbff1 100644 --- a/tests/software/lofreq/callparallel/main.nf +++ b/tests/modules/lofreq/callparallel/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LOFREQ_CALLPARALLEL } from '../../../../software/lofreq/callparallel/main.nf' addParams( options: [:] ) +include { LOFREQ_CALLPARALLEL } from '../../../../modules/lofreq/callparallel/main.nf' addParams( options: [:] ) workflow test_lofreq_callparallel { diff --git a/tests/modules/lofreq/callparallel/test.yml b/tests/modules/lofreq/callparallel/test.yml new file mode 100644 index 00000000..3ffb459e --- /dev/null +++ b/tests/modules/lofreq/callparallel/test.yml @@ -0,0 +1,7 @@ +- name: lofreq callparallel + command: nextflow run ./tests/modules/lofreq/callparallel -entry test_lofreq_callparallel -c tests/config/nextflow.config + tags: + - lofreq + - lofreq/callparallel + files: + - path: output/lofreq/test.vcf diff --git a/tests/software/lofreq/filter/main.nf b/tests/modules/lofreq/filter/main.nf similarity index 72% rename from tests/software/lofreq/filter/main.nf rename to tests/modules/lofreq/filter/main.nf index 172b1743..c5dcea97 100644 --- a/tests/software/lofreq/filter/main.nf +++ b/tests/modules/lofreq/filter/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { LOFREQ_FILTER } from '../../../../software/lofreq/filter/main.nf' addParams( options: [:] ) +include { LOFREQ_FILTER } from '../../../../modules/lofreq/filter/main.nf' addParams( options: [:] ) workflow test_lofreq_filter { diff --git a/tests/software/lofreq/filter/test.yml b/tests/modules/lofreq/filter/test.yml similarity index 61% rename from tests/software/lofreq/filter/test.yml rename to tests/modules/lofreq/filter/test.yml index 56274b87..4ee82654 100644 --- a/tests/software/lofreq/filter/test.yml +++ b/tests/modules/lofreq/filter/test.yml @@ -1,5 +1,5 @@ - name: lofreq filter test_lofreq_filter - command: nextflow run tests/software/lofreq/filter -entry test_lofreq_filter -c tests/config/nextflow.config + command: nextflow run tests/modules/lofreq/filter -entry test_lofreq_filter -c tests/config/nextflow.config tags: - lofreq - lofreq/filter diff --git a/tests/software/lofreq/indelqual/main.nf b/tests/modules/lofreq/indelqual/main.nf similarity index 71% rename from tests/software/lofreq/indelqual/main.nf rename to tests/modules/lofreq/indelqual/main.nf index 8dd76029..ba0493dd 100644 --- a/tests/software/lofreq/indelqual/main.nf +++ b/tests/modules/lofreq/indelqual/main.nf @@ -3,7 +3,7 @@ nextflow.enable.dsl = 2 -include { LOFREQ_INDELQUAL } from '../../../../software/lofreq/indelqual/main.nf' addParams( options: [ 'args': '--dindel', 'suffix':'.indelqual'] ) +include { LOFREQ_INDELQUAL } from '../../../../modules/lofreq/indelqual/main.nf' addParams( options: [ 'args': '--dindel', 'suffix':'.indelqual'] ) workflow test_lofreq_indelqual { diff --git a/tests/software/lofreq/indelqual/test.yml b/tests/modules/lofreq/indelqual/test.yml similarity index 58% rename from tests/software/lofreq/indelqual/test.yml rename to tests/modules/lofreq/indelqual/test.yml index 769e4b04..f3e73297 100644 --- a/tests/software/lofreq/indelqual/test.yml +++ b/tests/modules/lofreq/indelqual/test.yml @@ -1,5 +1,5 @@ - name: lofreq indelqual - command: nextflow run ./tests/software/lofreq/indelqual -entry test_lofreq_indelqual -c tests/config/nextflow.config + command: nextflow run ./tests/modules/lofreq/indelqual -entry test_lofreq_indelqual -c tests/config/nextflow.config tags: - lofreq - lofreq/indelqual diff --git a/tests/software/mash/sketch/main.nf b/tests/modules/mash/sketch/main.nf similarity index 80% rename from tests/software/mash/sketch/main.nf rename to tests/modules/mash/sketch/main.nf index 45b57444..da72d1e3 100644 --- a/tests/software/mash/sketch/main.nf +++ b/tests/modules/mash/sketch/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MASH_SKETCH } from '../../../../software/mash/sketch/main.nf' addParams( options: [:] ) +include { MASH_SKETCH } from '../../../../modules/mash/sketch/main.nf' addParams( options: [:] ) workflow test_mash_sketch { diff --git a/tests/software/mash/sketch/test.yml b/tests/modules/mash/sketch/test.yml similarity index 67% rename from tests/software/mash/sketch/test.yml rename to tests/modules/mash/sketch/test.yml index 11d2d86f..78f4598b 100644 --- a/tests/software/mash/sketch/test.yml +++ b/tests/modules/mash/sketch/test.yml @@ -1,5 +1,5 @@ - name: mash sketch - command: nextflow run ./tests/software/mash/sketch -entry test_mash_sketch -c tests/config/nextflow.config + command: nextflow run ./tests/modules/mash/sketch -entry test_mash_sketch -c tests/config/nextflow.config tags: - mash/sketch files: diff --git a/tests/software/metaphlan3/main.nf b/tests/modules/metaphlan3/main.nf similarity index 83% rename from tests/software/metaphlan3/main.nf rename to tests/modules/metaphlan3/main.nf index 4ca176bf..2d855683 100644 --- a/tests/software/metaphlan3/main.nf +++ b/tests/modules/metaphlan3/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../software/untar/main.nf' addParams( options: [:] ) -include { SAMTOOLS_VIEW } from '../../../software/samtools/view/main.nf' addParams( options: ['suffix': '.sam'] ) -include { METAPHLAN3 } from '../../../software/metaphlan3/main.nf' addParams( options: [ 'args':'--index mpa_v30_CHOCOPhlAn_201901 --add_viruses --bt2_ps very-sensitive-local' ] ) +include { UNTAR } from '../../../modules/untar/main.nf' addParams( options: [:] ) +include { SAMTOOLS_VIEW } from '../../../modules/samtools/view/main.nf' addParams( options: ['suffix': '.sam'] ) +include { METAPHLAN3 } from '../../../modules/metaphlan3/main.nf' addParams( options: [ 'args':'--index mpa_v30_CHOCOPhlAn_201901 --add_viruses --bt2_ps very-sensitive-local' ] ) workflow test_metaphlan3_single_end { diff --git a/tests/software/metaphlan3/test.yml b/tests/modules/metaphlan3/test.yml similarity index 93% rename from tests/software/metaphlan3/test.yml rename to tests/modules/metaphlan3/test.yml index b01b24ad..fbd5e70b 100644 --- a/tests/software/metaphlan3/test.yml +++ b/tests/modules/metaphlan3/test.yml @@ -1,5 +1,5 @@ - name: metaphlan3 test_metaphlan3_single_end - command: nextflow run tests/software/metaphlan3 -entry test_metaphlan3_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/metaphlan3 -entry test_metaphlan3_single_end -c tests/config/nextflow.config tags: - metaphlan3 files: @@ -30,7 +30,7 @@ md5sum: 1ca16b905abf657b88ca2bc12e7ad404 - name: metaphlan3 test_metaphlan3_paired_end - command: nextflow run tests/software/metaphlan3 -entry test_metaphlan3_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/metaphlan3 -entry test_metaphlan3_paired_end -c tests/config/nextflow.config tags: - metaphlan3 files: @@ -61,7 +61,7 @@ md5sum: 1ca16b905abf657b88ca2bc12e7ad404 - name: metaphlan3 test_metaphlan3_sam - command: nextflow run tests/software/metaphlan3 -entry test_metaphlan3_sam -c tests/config/nextflow.config + command: nextflow run tests/modules/metaphlan3 -entry test_metaphlan3_sam -c tests/config/nextflow.config tags: - metaphlan3 files: @@ -92,7 +92,7 @@ md5sum: 1ca16b905abf657b88ca2bc12e7ad404 - name: metaphlan3 test_metaphlan3_fasta - command: nextflow run tests/software/metaphlan3 -entry test_metaphlan3_fasta -c tests/config/nextflow.config + command: nextflow run tests/modules/metaphlan3 -entry test_metaphlan3_fasta -c tests/config/nextflow.config tags: - metaphlan3 files: diff --git a/tests/software/methyldackel/extract/main.nf b/tests/modules/methyldackel/extract/main.nf similarity index 84% rename from tests/software/methyldackel/extract/main.nf rename to tests/modules/methyldackel/extract/main.nf index b1ba8327..40e87b0b 100644 --- a/tests/software/methyldackel/extract/main.nf +++ b/tests/modules/methyldackel/extract/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { METHYLDACKEL_EXTRACT } from '../../../../software/methyldackel/extract/main.nf' addParams( options: [:] ) +include { METHYLDACKEL_EXTRACT } from '../../../../modules/methyldackel/extract/main.nf' addParams( options: [:] ) workflow test_methyldackel_extract { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/methyldackel/extract/test.yml b/tests/modules/methyldackel/extract/test.yml similarity index 65% rename from tests/software/methyldackel/extract/test.yml rename to tests/modules/methyldackel/extract/test.yml index 6f80e8b0..e2494181 100644 --- a/tests/software/methyldackel/extract/test.yml +++ b/tests/modules/methyldackel/extract/test.yml @@ -1,5 +1,5 @@ - name: Run methyldackel extract test workflow - command: nextflow run ./tests/software/methyldackel/extract -entry test_methyldackel_extract -c tests/config/nextflow.config + command: nextflow run ./tests/modules/methyldackel/extract -entry test_methyldackel_extract -c tests/config/nextflow.config tags: - methyldackel - methyldackel/extract diff --git a/tests/software/methyldackel/mbias/main.nf b/tests/modules/methyldackel/mbias/main.nf similarity index 85% rename from tests/software/methyldackel/mbias/main.nf rename to tests/modules/methyldackel/mbias/main.nf index 96fa95f4..318dd663 100644 --- a/tests/software/methyldackel/mbias/main.nf +++ b/tests/modules/methyldackel/mbias/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { METHYLDACKEL_MBIAS } from '../../../../software/methyldackel/mbias/main.nf' addParams( options: [:] ) +include { METHYLDACKEL_MBIAS } from '../../../../modules/methyldackel/mbias/main.nf' addParams( options: [:] ) workflow test_methyldackel_mbias { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/methyldackel/mbias/test.yml b/tests/modules/methyldackel/mbias/test.yml similarity index 61% rename from tests/software/methyldackel/mbias/test.yml rename to tests/modules/methyldackel/mbias/test.yml index 73cc4fbe..37102aec 100644 --- a/tests/software/methyldackel/mbias/test.yml +++ b/tests/modules/methyldackel/mbias/test.yml @@ -1,5 +1,5 @@ - name: Run methyldackel mbias test workflow - command: nextflow run ./tests/software/methyldackel/mbias -entry test_methyldackel_mbias -c tests/config/nextflow.config + command: nextflow run ./tests/modules/methyldackel/mbias -entry test_methyldackel_mbias -c tests/config/nextflow.config tags: - methyldackel - methyldackel/mbias diff --git a/tests/software/minia/main.nf b/tests/modules/minia/main.nf similarity index 81% rename from tests/software/minia/main.nf rename to tests/modules/minia/main.nf index e08364fb..e23f5cc4 100644 --- a/tests/software/minia/main.nf +++ b/tests/modules/minia/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MINIA } from '../../../software/minia/main.nf' addParams( options: [:] ) +include { MINIA } from '../../../modules/minia/main.nf' addParams( options: [:] ) workflow test_minia { input = [ [ id:'test' ], // meta map diff --git a/tests/software/minia/test.yml b/tests/modules/minia/test.yml similarity index 66% rename from tests/software/minia/test.yml rename to tests/modules/minia/test.yml index eed0b640..d4e84e52 100644 --- a/tests/software/minia/test.yml +++ b/tests/modules/minia/test.yml @@ -1,5 +1,5 @@ - name: Run tests for minia - test_minia - command: nextflow run tests/software/minia -entry test_minia -c tests/config/nextflow.config + command: nextflow run tests/modules/minia -entry test_minia -c tests/config/nextflow.config tags: - minia files: diff --git a/tests/software/minimap2/align/main.nf b/tests/modules/minimap2/align/main.nf similarity index 89% rename from tests/software/minimap2/align/main.nf rename to tests/modules/minimap2/align/main.nf index aabb372e..b4dbf5bd 100644 --- a/tests/software/minimap2/align/main.nf +++ b/tests/modules/minimap2/align/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MINIMAP2_ALIGN } from '../../../../software/minimap2/align/main.nf' addParams( options: [:] ) +include { MINIMAP2_ALIGN } from '../../../../modules/minimap2/align/main.nf' addParams( options: [:] ) workflow test_minimap2_align_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/minimap2/align/test.yml b/tests/modules/minimap2/align/test.yml similarity index 57% rename from tests/software/minimap2/align/test.yml rename to tests/modules/minimap2/align/test.yml index 30b6bf90..484fa9f7 100644 --- a/tests/software/minimap2/align/test.yml +++ b/tests/modules/minimap2/align/test.yml @@ -1,5 +1,5 @@ - name: minimap2 align single-end - command: nextflow run ./tests/software/minimap2/align -entry test_minimap2_align_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/minimap2/align -entry test_minimap2_align_single_end -c tests/config/nextflow.config tags: - minimap2 - minimap2/align @@ -8,7 +8,7 @@ md5sum: 5a9648fc67c30a2c83b0ef094171faa0 - name: minimap2 align paired-end - command: nextflow run ./tests/software/minimap2/align -entry test_minimap2_align_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/minimap2/align -entry test_minimap2_align_paired_end -c tests/config/nextflow.config tags: - minimap2 - minimap2/align diff --git a/tests/software/minimap2/index/main.nf b/tests/modules/minimap2/index/main.nf similarity index 66% rename from tests/software/minimap2/index/main.nf rename to tests/modules/minimap2/index/main.nf index 6949f587..39aa93e0 100644 --- a/tests/software/minimap2/index/main.nf +++ b/tests/modules/minimap2/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MINIMAP2_INDEX } from '../../../../software/minimap2/index/main.nf' addParams( options: [:] ) +include { MINIMAP2_INDEX } from '../../../../modules/minimap2/index/main.nf' addParams( options: [:] ) workflow test_minimap2_index { diff --git a/tests/software/minimap2/index/test.yml b/tests/modules/minimap2/index/test.yml similarity index 58% rename from tests/software/minimap2/index/test.yml rename to tests/modules/minimap2/index/test.yml index 8d4ccae8..7a3cc8fa 100644 --- a/tests/software/minimap2/index/test.yml +++ b/tests/modules/minimap2/index/test.yml @@ -1,5 +1,5 @@ - name: minimap2 index - command: nextflow run ./tests/software/minimap2/index -entry test_minimap2_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/minimap2/index -entry test_minimap2_index -c tests/config/nextflow.config tags: - minimap2 - minimap2/index diff --git a/tests/software/mosdepth/main.nf b/tests/modules/mosdepth/main.nf similarity index 84% rename from tests/software/mosdepth/main.nf rename to tests/modules/mosdepth/main.nf index e4d42bde..c4d8e9c4 100644 --- a/tests/software/mosdepth/main.nf +++ b/tests/modules/mosdepth/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MOSDEPTH } from '../../../software/mosdepth/main.nf' addParams( options: [:] ) +include { MOSDEPTH } from '../../../modules/mosdepth/main.nf' addParams( options: [:] ) workflow test_mosdepth { input = [ [ id:'test', single_end:true ], diff --git a/tests/software/mosdepth/test.yml b/tests/modules/mosdepth/test.yml similarity index 88% rename from tests/software/mosdepth/test.yml rename to tests/modules/mosdepth/test.yml index 7b188241..f5ab5608 100644 --- a/tests/software/mosdepth/test.yml +++ b/tests/modules/mosdepth/test.yml @@ -1,5 +1,5 @@ - name: mosdepth - command: nextflow run ./tests/software/mosdepth -entry test_mosdepth -c tests/config/nextflow.config + command: nextflow run ./tests/modules/mosdepth -entry test_mosdepth -c tests/config/nextflow.config tags: - mosdepth files: diff --git a/tests/software/msisensor/msi/main.nf b/tests/modules/msisensor/msi/main.nf similarity index 86% rename from tests/software/msisensor/msi/main.nf rename to tests/modules/msisensor/msi/main.nf index a5c9d12d..f8ce4187 100644 --- a/tests/software/msisensor/msi/main.nf +++ b/tests/modules/msisensor/msi/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { MSISENSOR_SCAN } from '../../../../software/msisensor/scan/main.nf' addParams( options: [:] ) -include { MSISENSOR_MSI } from '../../../../software/msisensor/msi/main.nf' addParams( options: [:] ) +include { MSISENSOR_SCAN } from '../../../../modules/msisensor/scan/main.nf' addParams( options: [:] ) +include { MSISENSOR_MSI } from '../../../../modules/msisensor/msi/main.nf' addParams( options: [:] ) workflow test_msisensor_msi { diff --git a/tests/software/msisensor/msi/test.yml b/tests/modules/msisensor/msi/test.yml similarity index 78% rename from tests/software/msisensor/msi/test.yml rename to tests/modules/msisensor/msi/test.yml index e3cbefb9..1fc74ad3 100644 --- a/tests/software/msisensor/msi/test.yml +++ b/tests/modules/msisensor/msi/test.yml @@ -1,5 +1,5 @@ - name: msisensor msi - command: nextflow run ./tests/software/msisensor/msi -entry test_msisensor_msi -c tests/config/nextflow.config + command: nextflow run ./tests/modules/msisensor/msi -entry test_msisensor_msi -c tests/config/nextflow.config tags: - msisensor - msisensor/msi diff --git a/tests/software/msisensor/scan/main.nf b/tests/modules/msisensor/scan/main.nf similarity index 73% rename from tests/software/msisensor/scan/main.nf rename to tests/modules/msisensor/scan/main.nf index bb39ab19..2303d0b9 100644 --- a/tests/software/msisensor/scan/main.nf +++ b/tests/modules/msisensor/scan/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { MSISENSOR_SCAN } from '../../../../software/msisensor/scan/main.nf' addParams( options: [:] ) +include { MSISENSOR_SCAN } from '../../../../modules/msisensor/scan/main.nf' addParams( options: [:] ) workflow test_msisensor_scan { diff --git a/tests/software/msisensor/scan/test.yml b/tests/modules/msisensor/scan/test.yml similarity index 60% rename from tests/software/msisensor/scan/test.yml rename to tests/modules/msisensor/scan/test.yml index aa567e37..0d28c5a2 100644 --- a/tests/software/msisensor/scan/test.yml +++ b/tests/modules/msisensor/scan/test.yml @@ -1,5 +1,5 @@ - name: msisensor scan - command: nextflow run ./tests/software/msisensor/scan -entry test_msisensor_scan -c tests/config/nextflow.config + command: nextflow run ./tests/modules/msisensor/scan -entry test_msisensor_scan -c tests/config/nextflow.config tags: - msisensor - msisensor/scan diff --git a/tests/software/multiqc/main.nf b/tests/modules/multiqc/main.nf similarity index 70% rename from tests/software/multiqc/main.nf rename to tests/modules/multiqc/main.nf index 57a3f760..ddabb43a 100644 --- a/tests/software/multiqc/main.nf +++ b/tests/modules/multiqc/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { FASTQC } from '../../../software/fastqc/main.nf' addParams( options: [:] ) -include { MULTIQC } from '../../../software/multiqc/main.nf' addParams( options: [:] ) +include { FASTQC } from '../../../modules/fastqc/main.nf' addParams( options: [:] ) +include { MULTIQC } from '../../../modules/multiqc/main.nf' addParams( options: [:] ) workflow test_multiqc { input = [ [ id: 'test', single_end: false ], diff --git a/tests/modules/multiqc/test.yml b/tests/modules/multiqc/test.yml new file mode 100644 index 00000000..69ded5d5 --- /dev/null +++ b/tests/modules/multiqc/test.yml @@ -0,0 +1,6 @@ +- name: multiqc + command: nextflow run ./tests/modules/multiqc -entry test_multiqc -c tests/config/nextflow.config + tags: + - multiqc + files: + - path: output/multiqc/multiqc_report.html diff --git a/tests/software/muscle/main.nf b/tests/modules/muscle/main.nf similarity index 53% rename from tests/software/muscle/main.nf rename to tests/modules/muscle/main.nf index 2119b0fa..81a71761 100644 --- a/tests/software/muscle/main.nf +++ b/tests/modules/muscle/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { MUSCLE } from '../../../software/muscle/main.nf' addParams( options: ['args': '-fasta -verbose -phys -phyi -maxiters 2']) -include { MUSCLE as MUSCLE_TREE } from '../../../software/muscle/main.nf' addParams( options: ['args': '-maketree']) +include { MUSCLE } from '../../../modules/muscle/main.nf' addParams( options: ['args': '-fasta -verbose -phys -phyi -maxiters 2']) +include { MUSCLE as MUSCLE_TREE } from '../../../modules/muscle/main.nf' addParams( options: ['args': '-maketree']) workflow test_muscle { diff --git a/tests/software/muscle/test.yml b/tests/modules/muscle/test.yml similarity index 82% rename from tests/software/muscle/test.yml rename to tests/modules/muscle/test.yml index 8f7db089..7f9d2a54 100644 --- a/tests/software/muscle/test.yml +++ b/tests/modules/muscle/test.yml @@ -1,5 +1,5 @@ - name: muscle test_muscle - command: nextflow run tests/software/muscle -entry test_muscle -c tests/config/nextflow.config + command: nextflow run tests/modules/muscle -entry test_muscle -c tests/config/nextflow.config tags: - muscle files: diff --git a/tests/software/nanolyse/main.nf b/tests/modules/nanolyse/main.nf similarity index 78% rename from tests/software/nanolyse/main.nf rename to tests/modules/nanolyse/main.nf index 8a1676ce..97941a6d 100644 --- a/tests/software/nanolyse/main.nf +++ b/tests/modules/nanolyse/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { NANOLYSE } from '../../../software/nanolyse/main.nf' addParams( options: [suffix: '.clean'] ) +include { NANOLYSE } from '../../../modules/nanolyse/main.nf' addParams( options: [suffix: '.clean'] ) workflow test_nanolyse { input = [ diff --git a/tests/modules/nanolyse/test.yml b/tests/modules/nanolyse/test.yml new file mode 100644 index 00000000..4938fe57 --- /dev/null +++ b/tests/modules/nanolyse/test.yml @@ -0,0 +1,6 @@ +- name: nanolyse + command: nextflow run ./tests/modules/nanolyse -entry test_nanolyse -c tests/config/nextflow.config + tags: + - nanolyse + files: + - path: ./output/nanolyse/test.clean.fastq.gz diff --git a/tests/software/nanoplot/main.nf b/tests/modules/nanoplot/main.nf similarity index 85% rename from tests/software/nanoplot/main.nf rename to tests/modules/nanoplot/main.nf index 0000cb31..a483f5e2 100644 --- a/tests/software/nanoplot/main.nf +++ b/tests/modules/nanoplot/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { NANOPLOT } from '../../../software/nanoplot/main.nf' addParams( options: [:] ) +include { NANOPLOT } from '../../../modules/nanoplot/main.nf' addParams( options: [:] ) workflow test_nanoplot_summary { def input = [] diff --git a/tests/software/nanoplot/test.yml b/tests/modules/nanoplot/test.yml similarity index 56% rename from tests/software/nanoplot/test.yml rename to tests/modules/nanoplot/test.yml index 0ad55a5a..475b90c9 100644 --- a/tests/software/nanoplot/test.yml +++ b/tests/modules/nanoplot/test.yml @@ -1,6 +1,6 @@ - name: nanoplot_summary - command: nextflow run ./tests/software/nanoplot -entry test_nanoplot_summary -c tests/config/nextflow.config + command: nextflow run ./tests/modules/nanoplot -entry test_nanoplot_summary -c tests/config/nextflow.config tags: - nanoplot files: @@ -8,7 +8,7 @@ contains: - "report" - name: nanoplot_fastq - command: nextflow run ./tests/software/nanoplot -entry test_nanoplot_fastq -c tests/config/nextflow.config + command: nextflow run ./tests/modules/nanoplot -entry test_nanoplot_fastq -c tests/config/nextflow.config tags: - nanoplot files: diff --git a/tests/software/nextclade/main.nf b/tests/modules/nextclade/main.nf similarity index 91% rename from tests/software/nextclade/main.nf rename to tests/modules/nextclade/main.nf index c86c9ce7..fe8f72c9 100755 --- a/tests/software/nextclade/main.nf +++ b/tests/modules/nextclade/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { NEXTCLADE } from '../../../software/nextclade/main.nf' addParams( options: [:] ) +include { NEXTCLADE } from '../../../modules/nextclade/main.nf' addParams( options: [:] ) workflow test_nextclade_json { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/nextclade/test.yml b/tests/modules/nextclade/test.yml similarity index 59% rename from tests/software/nextclade/test.yml rename to tests/modules/nextclade/test.yml index eaa6a3c8..9826dbad 100755 --- a/tests/software/nextclade/test.yml +++ b/tests/modules/nextclade/test.yml @@ -1,5 +1,5 @@ - name: nextclade test_nextclade_json - command: nextflow run tests/software/nextclade -entry test_nextclade_json -c tests/config/nextflow.config + command: nextflow run tests/modules/nextclade -entry test_nextclade_json -c tests/config/nextflow.config tags: - nextclade files: @@ -7,7 +7,7 @@ md5sum: cab92830c5cb66076e7d6c054ea98362 - name: nextclade test_nextclade_csv - command: nextflow run tests/software/nextclade -entry test_nextclade_csv -c tests/config/nextflow.config + command: nextflow run tests/modules/nextclade -entry test_nextclade_csv -c tests/config/nextflow.config tags: - nextclade files: @@ -15,7 +15,7 @@ md5sum: 4f7096df9be51f99a0d62a38653b29cf - name: nextclade test_nextclade_tsv - command: nextflow run tests/software/nextclade -entry test_nextclade_tsv -c tests/config/nextflow.config + command: nextflow run tests/modules/nextclade -entry test_nextclade_tsv -c tests/config/nextflow.config tags: - nextclade files: @@ -23,7 +23,7 @@ md5sum: fe07dc4ffcd81742ca9bef93f88e8836 - name: nextclade test_nextclade_tree - command: nextflow run tests/software/nextclade -entry test_nextclade_tree -c tests/config/nextflow.config + command: nextflow run tests/modules/nextclade -entry test_nextclade_tree -c tests/config/nextflow.config tags: - nextclade files: diff --git a/tests/software/optitype/main.nf b/tests/modules/optitype/main.nf similarity index 68% rename from tests/software/optitype/main.nf rename to tests/modules/optitype/main.nf index f14eb40a..7d740473 100644 --- a/tests/software/optitype/main.nf +++ b/tests/modules/optitype/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { OPTITYPE } from '../../../software/optitype/main.nf' addParams( options: ['args':'-e 1 -b 0.009', 'args2':'solver=glpk'] ) +include { OPTITYPE } from '../../../modules/optitype/main.nf' addParams( options: ['args':'-e 1 -b 0.009', 'args2':'solver=glpk'] ) workflow test_optitype { input = [ [ id:'test', seq_type:'dna' ], // meta map diff --git a/tests/software/optitype/test.yml b/tests/modules/optitype/test.yml similarity index 62% rename from tests/software/optitype/test.yml rename to tests/modules/optitype/test.yml index 0b8f753d..5ee5a067 100644 --- a/tests/software/optitype/test.yml +++ b/tests/modules/optitype/test.yml @@ -1,5 +1,5 @@ - name: optitype test_optitype - command: nextflow run tests/software/optitype -entry test_optitype -c tests/config/nextflow.config + command: nextflow run tests/modules/optitype -entry test_optitype -c tests/config/nextflow.config tags: - optitype files: diff --git a/tests/software/pairix/main.nf b/tests/modules/pairix/main.nf similarity index 78% rename from tests/software/pairix/main.nf rename to tests/modules/pairix/main.nf index bba56ea2..f1e2a44a 100644 --- a/tests/software/pairix/main.nf +++ b/tests/modules/pairix/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRIX } from '../../../software/pairix/main.nf' addParams( options: [:] ) +include { PAIRIX } from '../../../modules/pairix/main.nf' addParams( options: [:] ) workflow test_pairix { diff --git a/tests/software/pairix/test.yml b/tests/modules/pairix/test.yml similarity index 75% rename from tests/software/pairix/test.yml rename to tests/modules/pairix/test.yml index 89e596d1..304a94b3 100644 --- a/tests/software/pairix/test.yml +++ b/tests/modules/pairix/test.yml @@ -1,5 +1,5 @@ - name: pairix test_pairix - command: nextflow run tests/software/pairix -entry test_pairix -c tests/config/nextflow.config + command: nextflow run tests/modules/pairix -entry test_pairix -c tests/config/nextflow.config tags: - pairix files: diff --git a/tests/software/pairtools/dedup/main.nf b/tests/modules/pairtools/dedup/main.nf similarity index 71% rename from tests/software/pairtools/dedup/main.nf rename to tests/modules/pairtools/dedup/main.nf index 44e3dc15..2c10c85b 100644 --- a/tests/software/pairtools/dedup/main.nf +++ b/tests/modules/pairtools/dedup/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_DEDUP } from '../../../../software/pairtools/dedup/main.nf' addParams( options: ['suffix':'.dedup'] ) +include { PAIRTOOLS_DEDUP } from '../../../../modules/pairtools/dedup/main.nf' addParams( options: ['suffix':'.dedup'] ) workflow test_pairtools_dedup { diff --git a/tests/software/pairtools/dedup/test.yml b/tests/modules/pairtools/dedup/test.yml similarity index 71% rename from tests/software/pairtools/dedup/test.yml rename to tests/modules/pairtools/dedup/test.yml index b3988ecc..25fc51f7 100644 --- a/tests/software/pairtools/dedup/test.yml +++ b/tests/modules/pairtools/dedup/test.yml @@ -1,5 +1,5 @@ - name: pairtools dedup test_pairtools_dedup - command: nextflow run tests/software/pairtools/dedup -entry test_pairtools_dedup -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/dedup -entry test_pairtools_dedup -c tests/config/nextflow.config tags: - pairtools/dedup - pairtools diff --git a/tests/software/pairtools/flip/main.nf b/tests/modules/pairtools/flip/main.nf similarity index 80% rename from tests/software/pairtools/flip/main.nf rename to tests/modules/pairtools/flip/main.nf index 84b5a1ba..ed980102 100644 --- a/tests/software/pairtools/flip/main.nf +++ b/tests/modules/pairtools/flip/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_FLIP } from '../../../../software/pairtools/flip/main.nf' addParams( options: [:] ) +include { PAIRTOOLS_FLIP } from '../../../../modules/pairtools/flip/main.nf' addParams( options: [:] ) workflow test_pairtools_flip { diff --git a/tests/software/pairtools/flip/test.yml b/tests/modules/pairtools/flip/test.yml similarity index 55% rename from tests/software/pairtools/flip/test.yml rename to tests/modules/pairtools/flip/test.yml index e4fb8d31..eeef6530 100644 --- a/tests/software/pairtools/flip/test.yml +++ b/tests/modules/pairtools/flip/test.yml @@ -1,5 +1,5 @@ - name: pairtools flip test_pairtools_flip - command: nextflow run tests/software/pairtools/flip -entry test_pairtools_flip -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/flip -entry test_pairtools_flip -c tests/config/nextflow.config tags: - pairtools/flip - pairtools diff --git a/tests/software/pairtools/parse/main.nf b/tests/modules/pairtools/parse/main.nf similarity index 78% rename from tests/software/pairtools/parse/main.nf rename to tests/modules/pairtools/parse/main.nf index 32a2db56..26ceaa4f 100644 --- a/tests/software/pairtools/parse/main.nf +++ b/tests/modules/pairtools/parse/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_PARSE } from '../../../../software/pairtools/parse/main.nf' addParams( options: ['suffix':'.raw'] ) +include { PAIRTOOLS_PARSE } from '../../../../modules/pairtools/parse/main.nf' addParams( options: ['suffix':'.raw'] ) workflow test_pairtools_parse { diff --git a/tests/software/pairtools/parse/test.yml b/tests/modules/pairtools/parse/test.yml similarity index 68% rename from tests/software/pairtools/parse/test.yml rename to tests/modules/pairtools/parse/test.yml index 91e1ab68..e5d18e01 100644 --- a/tests/software/pairtools/parse/test.yml +++ b/tests/modules/pairtools/parse/test.yml @@ -1,5 +1,5 @@ - name: pairtools parse test_pairtools_parse - command: nextflow run tests/software/pairtools/parse -entry test_pairtools_parse -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/parse -entry test_pairtools_parse -c tests/config/nextflow.config tags: - pairtools - pairtools/parse diff --git a/tests/software/pairtools/restrict/main.nf b/tests/modules/pairtools/restrict/main.nf similarity index 78% rename from tests/software/pairtools/restrict/main.nf rename to tests/modules/pairtools/restrict/main.nf index 406227ce..f785ed88 100644 --- a/tests/software/pairtools/restrict/main.nf +++ b/tests/modules/pairtools/restrict/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_RESTRICT } from '../../../../software/pairtools/restrict/main.nf' addParams( options: ['suffix':'.restrict'] ) +include { PAIRTOOLS_RESTRICT } from '../../../../modules/pairtools/restrict/main.nf' addParams( options: ['suffix':'.restrict'] ) workflow test_pairtools_restrict { diff --git a/tests/software/pairtools/restrict/test.yml b/tests/modules/pairtools/restrict/test.yml similarity index 57% rename from tests/software/pairtools/restrict/test.yml rename to tests/modules/pairtools/restrict/test.yml index 2d64403b..afc64930 100644 --- a/tests/software/pairtools/restrict/test.yml +++ b/tests/modules/pairtools/restrict/test.yml @@ -1,5 +1,5 @@ - name: pairtools restrict test_pairtools_restrict - command: nextflow run tests/software/pairtools/restrict -entry test_pairtools_restrict -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/restrict -entry test_pairtools_restrict -c tests/config/nextflow.config tags: - pairtools/restrict - pairtools diff --git a/tests/software/pairtools/select/main.nf b/tests/modules/pairtools/select/main.nf similarity index 63% rename from tests/software/pairtools/select/main.nf rename to tests/modules/pairtools/select/main.nf index 24d5bcf8..2efd29c7 100644 --- a/tests/software/pairtools/select/main.nf +++ b/tests/modules/pairtools/select/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_SELECT } from '../../../../software/pairtools/select/main.nf' addParams( options: [args:"(pair_type == 'RU') or (pair_type == 'UR') or (pair_type == 'UU')"] ) +include { PAIRTOOLS_SELECT } from '../../../../modules/pairtools/select/main.nf' addParams( options: [args:"(pair_type == 'RU') or (pair_type == 'UR') or (pair_type == 'UU')"] ) workflow test_pairtools_select { diff --git a/tests/software/pairtools/select/test.yml b/tests/modules/pairtools/select/test.yml similarity index 64% rename from tests/software/pairtools/select/test.yml rename to tests/modules/pairtools/select/test.yml index 1b8cbb84..adeb50c3 100644 --- a/tests/software/pairtools/select/test.yml +++ b/tests/modules/pairtools/select/test.yml @@ -1,5 +1,5 @@ - name: pairtools select test_pairtools_select - command: nextflow run tests/software/pairtools/select -entry test_pairtools_select -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/select -entry test_pairtools_select -c tests/config/nextflow.config tags: - pairtools/select - pairtools diff --git a/tests/software/pairtools/sort/main.nf b/tests/modules/pairtools/sort/main.nf similarity index 71% rename from tests/software/pairtools/sort/main.nf rename to tests/modules/pairtools/sort/main.nf index 622b211a..dfb505e0 100644 --- a/tests/software/pairtools/sort/main.nf +++ b/tests/modules/pairtools/sort/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PAIRTOOLS_SORT } from '../../../../software/pairtools/sort/main.nf' addParams( options: ['suffix':'.sorted'] ) +include { PAIRTOOLS_SORT } from '../../../../modules/pairtools/sort/main.nf' addParams( options: ['suffix':'.sorted'] ) workflow test_pairtools_sort { diff --git a/tests/software/pairtools/sort/test.yml b/tests/modules/pairtools/sort/test.yml similarity index 56% rename from tests/software/pairtools/sort/test.yml rename to tests/modules/pairtools/sort/test.yml index bd3d0e11..9eea74a0 100644 --- a/tests/software/pairtools/sort/test.yml +++ b/tests/modules/pairtools/sort/test.yml @@ -1,5 +1,5 @@ - name: pairtools sort test_pairtools_sort - command: nextflow run tests/software/pairtools/sort -entry test_pairtools_sort -c tests/config/nextflow.config + command: nextflow run tests/modules/pairtools/sort -entry test_pairtools_sort -c tests/config/nextflow.config tags: - pairtools/sort - pairtools diff --git a/tests/software/pangolin/main.nf b/tests/modules/pangolin/main.nf similarity index 73% rename from tests/software/pangolin/main.nf rename to tests/modules/pangolin/main.nf index 3300d755..b8130c5d 100644 --- a/tests/software/pangolin/main.nf +++ b/tests/modules/pangolin/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PANGOLIN } from '../../../software/pangolin/main.nf' addParams( options: [:] ) +include { PANGOLIN } from '../../../modules/pangolin/main.nf' addParams( options: [:] ) workflow test_pangolin { input = [ [ id:'test' ], // meta map diff --git a/tests/software/pangolin/test.yml b/tests/modules/pangolin/test.yml similarity index 57% rename from tests/software/pangolin/test.yml rename to tests/modules/pangolin/test.yml index b2555331..debee708 100644 --- a/tests/software/pangolin/test.yml +++ b/tests/modules/pangolin/test.yml @@ -1,5 +1,5 @@ - name: pangolin - command: nextflow run ./tests/software/pangolin -entry test_pangolin -c ./tests/config/nextflow.config + command: nextflow run ./tests/modules/pangolin -entry test_pangolin -c ./tests/config/nextflow.config tags: - pangolin files: diff --git a/tests/software/picard/collectmultiplemetrics/main.nf b/tests/modules/picard/collectmultiplemetrics/main.nf similarity index 76% rename from tests/software/picard/collectmultiplemetrics/main.nf rename to tests/modules/picard/collectmultiplemetrics/main.nf index 0c3005d0..73ac0013 100644 --- a/tests/software/picard/collectmultiplemetrics/main.nf +++ b/tests/modules/picard/collectmultiplemetrics/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PICARD_COLLECTMULTIPLEMETRICS } from '../../../../software/picard/collectmultiplemetrics/main.nf' addParams( options: [:] ) +include { PICARD_COLLECTMULTIPLEMETRICS } from '../../../../modules/picard/collectmultiplemetrics/main.nf' addParams( options: [:] ) workflow test_picard_collectmultiplemetrics { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/picard/collectmultiplemetrics/test.yml b/tests/modules/picard/collectmultiplemetrics/test.yml similarity index 86% rename from tests/software/picard/collectmultiplemetrics/test.yml rename to tests/modules/picard/collectmultiplemetrics/test.yml index 15eb4b57..fc4d0347 100644 --- a/tests/software/picard/collectmultiplemetrics/test.yml +++ b/tests/modules/picard/collectmultiplemetrics/test.yml @@ -1,5 +1,5 @@ - name: picard collectmultiplemetrics - command: nextflow run ./tests/software/picard/collectmultiplemetrics -entry test_picard_collectmultiplemetrics -c tests/config/nextflow.config + command: nextflow run ./tests/modules/picard/collectmultiplemetrics -entry test_picard_collectmultiplemetrics -c tests/config/nextflow.config tags: - picard - picard/collectmultiplemetrics diff --git a/tests/software/picard/collectwgsmetrics/main.nf b/tests/modules/picard/collectwgsmetrics/main.nf similarity index 81% rename from tests/software/picard/collectwgsmetrics/main.nf rename to tests/modules/picard/collectwgsmetrics/main.nf index 860937fe..5bdf17ab 100644 --- a/tests/software/picard/collectwgsmetrics/main.nf +++ b/tests/modules/picard/collectwgsmetrics/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PICARD_COLLECTWGSMETRICS } from '../../../../software/picard/collectwgsmetrics/main.nf' addParams( options: [:] ) +include { PICARD_COLLECTWGSMETRICS } from '../../../../modules/picard/collectwgsmetrics/main.nf' addParams( options: [:] ) workflow test_picard_collectwgsmetrics { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/picard/collectwgsmetrics/test.yml b/tests/modules/picard/collectwgsmetrics/test.yml similarity index 67% rename from tests/software/picard/collectwgsmetrics/test.yml rename to tests/modules/picard/collectwgsmetrics/test.yml index ef76fb4c..62e87e65 100644 --- a/tests/software/picard/collectwgsmetrics/test.yml +++ b/tests/modules/picard/collectwgsmetrics/test.yml @@ -1,5 +1,5 @@ - name: picard collectwgsmetrics test_picard_collectwgsmetrics - command: nextflow run tests/software/picard/collectwgsmetrics -entry test_picard_collectwgsmetrics -c tests/config/nextflow.config + command: nextflow run tests/modules/picard/collectwgsmetrics -entry test_picard_collectwgsmetrics -c tests/config/nextflow.config tags: - picard/collectwgsmetrics - picard diff --git a/tests/software/picard/markduplicates/main.nf b/tests/modules/picard/markduplicates/main.nf similarity index 83% rename from tests/software/picard/markduplicates/main.nf rename to tests/modules/picard/markduplicates/main.nf index 3f4463e2..2d4ff746 100644 --- a/tests/software/picard/markduplicates/main.nf +++ b/tests/modules/picard/markduplicates/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PICARD_MARKDUPLICATES } from '../../../../software/picard/markduplicates/main.nf' addParams( options: [:] ) +include { PICARD_MARKDUPLICATES } from '../../../../modules/picard/markduplicates/main.nf' addParams( options: [:] ) workflow test_picard_markduplicates_sorted_bam { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/picard/markduplicates/test.yml b/tests/modules/picard/markduplicates/test.yml similarity index 55% rename from tests/software/picard/markduplicates/test.yml rename to tests/modules/picard/markduplicates/test.yml index 8516cb28..db3cf253 100644 --- a/tests/software/picard/markduplicates/test.yml +++ b/tests/modules/picard/markduplicates/test.yml @@ -1,5 +1,5 @@ - name: picard markduplicates on sorted bam - command: nextflow run ./tests/software/picard/markduplicates -entry test_picard_markduplicates_sorted_bam -c tests/config/nextflow.config + command: nextflow run ./tests/modules/picard/markduplicates -entry test_picard_markduplicates_sorted_bam -c tests/config/nextflow.config tags: - picard - picard/markduplicates @@ -9,7 +9,7 @@ md5sum: fe8ed25b4bd25be0cc7a8730fc3b2f30 - name: picard markduplicates on unsorted bam - command: nextflow run ./tests/software/picard/markduplicates -entry test_picard_markduplicates_unsorted_bam -c tests/config/nextflow.config + command: nextflow run ./tests/modules/picard/markduplicates -entry test_picard_markduplicates_unsorted_bam -c tests/config/nextflow.config tags: - picard - picard/markduplicates diff --git a/tests/software/picard/mergesamfiles/main.nf b/tests/modules/picard/mergesamfiles/main.nf similarity index 78% rename from tests/software/picard/mergesamfiles/main.nf rename to tests/modules/picard/mergesamfiles/main.nf index 20a468be..5ddc849f 100644 --- a/tests/software/picard/mergesamfiles/main.nf +++ b/tests/modules/picard/mergesamfiles/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PICARD_MERGESAMFILES } from '../../../../software/picard/mergesamfiles/main.nf' addParams( options: [:] ) +include { PICARD_MERGESAMFILES } from '../../../../modules/picard/mergesamfiles/main.nf' addParams( options: [:] ) workflow test_picard_mergesamfiles { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/picard/mergesamfiles/test.yml b/tests/modules/picard/mergesamfiles/test.yml similarity index 57% rename from tests/software/picard/mergesamfiles/test.yml rename to tests/modules/picard/mergesamfiles/test.yml index 4f060fbe..be82034f 100644 --- a/tests/software/picard/mergesamfiles/test.yml +++ b/tests/modules/picard/mergesamfiles/test.yml @@ -1,5 +1,5 @@ - name: picard mergesamfiles - command: nextflow run ./tests/software/picard/mergesamfiles -entry test_picard_mergesamfiles -c tests/config/nextflow.config + command: nextflow run ./tests/modules/picard/mergesamfiles -entry test_picard_mergesamfiles -c tests/config/nextflow.config tags: - picard - picard/mergesamfiles diff --git a/tests/software/plasmidid/main.nf b/tests/modules/plasmidid/main.nf similarity index 77% rename from tests/software/plasmidid/main.nf rename to tests/modules/plasmidid/main.nf index 44a022df..1dd57daf 100644 --- a/tests/software/plasmidid/main.nf +++ b/tests/modules/plasmidid/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PLASMIDID } from '../../../software/plasmidid/main.nf' addParams ( options: ['args' : '-k 0.8'] ) +include { PLASMIDID } from '../../../modules/plasmidid/main.nf' addParams ( options: ['args' : '-k 0.8'] ) workflow test_plasmidid { diff --git a/tests/software/plasmidid/test.yml b/tests/modules/plasmidid/test.yml similarity index 96% rename from tests/software/plasmidid/test.yml rename to tests/modules/plasmidid/test.yml index f2ac8f0d..dd472a72 100644 --- a/tests/software/plasmidid/test.yml +++ b/tests/modules/plasmidid/test.yml @@ -1,5 +1,5 @@ - name: plasmidid - command: nextflow run ./tests/software/plasmidid -entry test_plasmidid -c tests/config/nextflow.config + command: nextflow run ./tests/modules/plasmidid -entry test_plasmidid -c tests/config/nextflow.config tags: - plasmidid files: diff --git a/tests/software/preseq/lcextrap/main.nf b/tests/modules/preseq/lcextrap/main.nf similarity index 86% rename from tests/software/preseq/lcextrap/main.nf rename to tests/modules/preseq/lcextrap/main.nf index 5bd28d45..390039bd 100644 --- a/tests/software/preseq/lcextrap/main.nf +++ b/tests/modules/preseq/lcextrap/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PRESEQ_LCEXTRAP } from '../../../../software/preseq/lcextrap/main.nf' addParams( options: [:] ) +include { PRESEQ_LCEXTRAP } from '../../../../modules/preseq/lcextrap/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/preseq/lcextrap/test.yml b/tests/modules/preseq/lcextrap/test.yml similarity index 64% rename from tests/software/preseq/lcextrap/test.yml rename to tests/modules/preseq/lcextrap/test.yml index d70f1921..4472a485 100644 --- a/tests/software/preseq/lcextrap/test.yml +++ b/tests/modules/preseq/lcextrap/test.yml @@ -1,5 +1,5 @@ - name: preseq lcextrap single-end - command: nextflow run ./tests/software/preseq/lcextrap -entry test_preseq_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/preseq/lcextrap -entry test_preseq_single_end -c tests/config/nextflow.config tags: - preseq - preseq/lcextrap @@ -9,7 +9,7 @@ - path: output/preseq/test.command.log - name: preseq lcextrap paired-end - command: nextflow run ./tests/software/preseq/lcextrap -entry test_preseq_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/preseq/lcextrap -entry test_preseq_paired_end -c tests/config/nextflow.config tags: - preseq - preseq/lcextrap diff --git a/tests/software/prodigal/main.nf b/tests/modules/prodigal/main.nf similarity index 74% rename from tests/software/prodigal/main.nf rename to tests/modules/prodigal/main.nf index fe099425..414585a1 100644 --- a/tests/software/prodigal/main.nf +++ b/tests/modules/prodigal/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PRODIGAL } from '../../../software/prodigal/main.nf' addParams( options: [:] ) +include { PRODIGAL } from '../../../modules/prodigal/main.nf' addParams( options: [:] ) workflow test_prodigal { input = [ [ id:'test' ], // meta map diff --git a/tests/software/prodigal/test.yml b/tests/modules/prodigal/test.yml similarity index 79% rename from tests/software/prodigal/test.yml rename to tests/modules/prodigal/test.yml index 83f5cf73..93caa998 100644 --- a/tests/software/prodigal/test.yml +++ b/tests/modules/prodigal/test.yml @@ -1,5 +1,5 @@ - name: prodigal test_prodigal - command: nextflow run tests/software/prodigal -entry test_prodigal -c tests/config/nextflow.config + command: nextflow run tests/modules/prodigal -entry test_prodigal -c tests/config/nextflow.config tags: - prodigal files: diff --git a/tests/software/prokka/main.nf b/tests/modules/prokka/main.nf similarity index 76% rename from tests/software/prokka/main.nf rename to tests/modules/prokka/main.nf index e230f064..e35cb1d9 100644 --- a/tests/software/prokka/main.nf +++ b/tests/modules/prokka/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PROKKA } from '../../../software/prokka/main.nf' addParams( options: [:] ) +include { PROKKA } from '../../../modules/prokka/main.nf' addParams( options: [:] ) workflow test_prokka { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/prokka/test.yml b/tests/modules/prokka/test.yml similarity index 90% rename from tests/software/prokka/test.yml rename to tests/modules/prokka/test.yml index fc12af81..2823353c 100644 --- a/tests/software/prokka/test.yml +++ b/tests/modules/prokka/test.yml @@ -1,5 +1,5 @@ - name: prokka - command: nextflow run ./tests/software/prokka -entry test_prokka -c tests/config/nextflow.config + command: nextflow run ./tests/modules/prokka -entry test_prokka -c tests/config/nextflow.config tags: - prokka files: diff --git a/tests/software/pycoqc/main.nf b/tests/modules/pycoqc/main.nf similarity index 65% rename from tests/software/pycoqc/main.nf rename to tests/modules/pycoqc/main.nf index 56449f65..ab65dadc 100644 --- a/tests/software/pycoqc/main.nf +++ b/tests/modules/pycoqc/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { PYCOQC } from '../../../software/pycoqc/main.nf' addParams ( options: ['args' : '--min_pass_qual 0'] ) +include { PYCOQC } from '../../../modules/pycoqc/main.nf' addParams ( options: ['args' : '--min_pass_qual 0'] ) workflow test_pycoqc { diff --git a/tests/software/pycoqc/test.yml b/tests/modules/pycoqc/test.yml similarity index 69% rename from tests/software/pycoqc/test.yml rename to tests/modules/pycoqc/test.yml index 0ef557b7..052e3e1a 100644 --- a/tests/software/pycoqc/test.yml +++ b/tests/modules/pycoqc/test.yml @@ -1,5 +1,5 @@ - name: pycoqc - command: nextflow run ./tests/software/pycoqc -entry test_pycoqc -c tests/config/nextflow.config + command: nextflow run ./tests/modules/pycoqc -entry test_pycoqc -c tests/config/nextflow.config tags: - pycoqc files: diff --git a/tests/software/qcat/main.nf b/tests/modules/qcat/main.nf similarity index 80% rename from tests/software/qcat/main.nf rename to tests/modules/qcat/main.nf index 74040bb2..72c87e37 100644 --- a/tests/software/qcat/main.nf +++ b/tests/modules/qcat/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { QCAT } from '../../../software/qcat/main.nf' addParams( options: [:] ) +include { QCAT } from '../../../modules/qcat/main.nf' addParams( options: [:] ) workflow test_qcat { input = [ [ id:'test' ], // meta map diff --git a/tests/software/qcat/test.yml b/tests/modules/qcat/test.yml similarity index 66% rename from tests/software/qcat/test.yml rename to tests/modules/qcat/test.yml index f3cb984b..5c43841b 100644 --- a/tests/software/qcat/test.yml +++ b/tests/modules/qcat/test.yml @@ -1,5 +1,5 @@ - name: qcat - command: nextflow run ./tests/software/qcat -entry test_qcat -c tests/config/nextflow.config + command: nextflow run ./tests/modules/qcat -entry test_qcat -c tests/config/nextflow.config tags: - qcat files: diff --git a/tests/software/qualimap/bamqc/main.nf b/tests/modules/qualimap/bamqc/main.nf similarity index 78% rename from tests/software/qualimap/bamqc/main.nf rename to tests/modules/qualimap/bamqc/main.nf index 6d0eca87..803d0220 100644 --- a/tests/software/qualimap/bamqc/main.nf +++ b/tests/modules/qualimap/bamqc/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { QUALIMAP_BAMQC } from '../../../../software/qualimap/bamqc/main.nf' addParams( options: [:] ) +include { QUALIMAP_BAMQC } from '../../../../modules/qualimap/bamqc/main.nf' addParams( options: [:] ) workflow test_qualimap_bamqc { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/qualimap/bamqc/test.yml b/tests/modules/qualimap/bamqc/test.yml similarity index 97% rename from tests/software/qualimap/bamqc/test.yml rename to tests/modules/qualimap/bamqc/test.yml index 404b5cf8..704c08b2 100644 --- a/tests/software/qualimap/bamqc/test.yml +++ b/tests/modules/qualimap/bamqc/test.yml @@ -1,5 +1,5 @@ - name: Run qualimap bamqc test workflow - command: nextflow run ./tests/software/qualimap/bamqc -entry test_qualimap_bamqc -c tests/config/nextflow.config + command: nextflow run ./tests/modules/qualimap/bamqc -entry test_qualimap_bamqc -c tests/config/nextflow.config tags: - qualimap - qualimap/bamqc diff --git a/tests/software/quast/main.nf b/tests/modules/quast/main.nf similarity index 90% rename from tests/software/quast/main.nf rename to tests/modules/quast/main.nf index 592fa177..d263470c 100644 --- a/tests/software/quast/main.nf +++ b/tests/modules/quast/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { QUAST } from '../../../software/quast/main.nf' addParams(options: [:]) +include { QUAST } from '../../../modules/quast/main.nf' addParams(options: [:]) workflow test_quast_ref { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/quast/test.yml b/tests/modules/quast/test.yml similarity index 96% rename from tests/software/quast/test.yml rename to tests/modules/quast/test.yml index 86cc331c..6e1f991f 100644 --- a/tests/software/quast/test.yml +++ b/tests/modules/quast/test.yml @@ -1,5 +1,5 @@ - name: quast with reference - command: nextflow run ./tests/software/quast -entry test_quast_ref -c ./tests/config/nextflow.config + command: nextflow run ./tests/modules/quast -entry test_quast_ref -c ./tests/config/nextflow.config tags: - quast files: @@ -82,7 +82,7 @@ - path: ./output/quast/quast/icarus_viewers/contig_size_viewer.html - name: quast without reference - command: nextflow run ./tests/software/quast -entry test_quast_noref -c ./tests/config/nextflow.config + command: nextflow run ./tests/modules/quast -entry test_quast_noref -c ./tests/config/nextflow.config tags: - quast files: diff --git a/tests/software/rapidnj/main.nf b/tests/modules/rapidnj/main.nf similarity index 70% rename from tests/software/rapidnj/main.nf rename to tests/modules/rapidnj/main.nf index f002ea68..e23fa46f 100644 --- a/tests/software/rapidnj/main.nf +++ b/tests/modules/rapidnj/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RAPIDNJ } from '../../../software/rapidnj/main.nf' addParams( options: [:] ) +include { RAPIDNJ } from '../../../modules/rapidnj/main.nf' addParams( options: [:] ) workflow test_rapidnj { diff --git a/tests/software/rapidnj/test.yml b/tests/modules/rapidnj/test.yml similarity index 69% rename from tests/software/rapidnj/test.yml rename to tests/modules/rapidnj/test.yml index ad5bd92a..0b7ecff5 100644 --- a/tests/software/rapidnj/test.yml +++ b/tests/modules/rapidnj/test.yml @@ -1,5 +1,5 @@ - name: rapidnj - command: nextflow run ./tests/software/rapidnj -entry test_rapidnj -c tests/config/nextflow.config + command: nextflow run ./tests/modules/rapidnj -entry test_rapidnj -c tests/config/nextflow.config tags: - rapidnj files: diff --git a/tests/software/rasusa/main.nf b/tests/modules/rasusa/main.nf similarity index 82% rename from tests/software/rasusa/main.nf rename to tests/modules/rasusa/main.nf index a65dc7bd..9cc139ad 100644 --- a/tests/software/rasusa/main.nf +++ b/tests/modules/rasusa/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RASUSA } from '../../../software/rasusa/main.nf' addParams( options: ['suffix':'_100X']) +include { RASUSA } from '../../../modules/rasusa/main.nf' addParams( options: ['suffix':'_100X']) workflow test_rasusa { input = [ [ id:'test', single_end:false], // meta map diff --git a/tests/software/rasusa/test.yml b/tests/modules/rasusa/test.yml similarity index 71% rename from tests/software/rasusa/test.yml rename to tests/modules/rasusa/test.yml index 8df5bee9..bb30c99e 100644 --- a/tests/software/rasusa/test.yml +++ b/tests/modules/rasusa/test.yml @@ -1,5 +1,5 @@ - name: rasusa test_rasusa - command: nextflow run tests/software/rasusa -entry test_rasusa -c tests/config/nextflow.config + command: nextflow run tests/modules/rasusa -entry test_rasusa -c tests/config/nextflow.config tags: - rasusa files: diff --git a/tests/software/raxmlng/main.nf b/tests/modules/raxmlng/main.nf similarity index 61% rename from tests/software/raxmlng/main.nf rename to tests/modules/raxmlng/main.nf index 25ab7452..2cac6b31 100644 --- a/tests/software/raxmlng/main.nf +++ b/tests/modules/raxmlng/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { RAXMLNG as RAXMLNG_NO_BOOTSTRAP } from '../../../software/raxmlng/main.nf' addParams( options: [args:'--model GTR+G'] ) -include { RAXMLNG as RAXMLNG_BOOTSTRAP } from '../../../software/raxmlng/main.nf' addParams( options: [args:'--all --model GTR+G --bs-trees 1000'] ) +include { RAXMLNG as RAXMLNG_NO_BOOTSTRAP } from '../../../modules/raxmlng/main.nf' addParams( options: [args:'--model GTR+G'] ) +include { RAXMLNG as RAXMLNG_BOOTSTRAP } from '../../../modules/raxmlng/main.nf' addParams( options: [args:'--all --model GTR+G --bs-trees 1000'] ) // // Test without bootstrapping diff --git a/tests/software/raxmlng/test.yml b/tests/modules/raxmlng/test.yml similarity index 74% rename from tests/software/raxmlng/test.yml rename to tests/modules/raxmlng/test.yml index 996f3760..950c48ad 100644 --- a/tests/software/raxmlng/test.yml +++ b/tests/modules/raxmlng/test.yml @@ -1,5 +1,5 @@ - name: raxmlng no_bootstrap - command: nextflow run ./tests/software/raxmlng -entry test_raxmlng_no_bootstrap -c tests/config/nextflow.config + command: nextflow run ./tests/modules/raxmlng -entry test_raxmlng_no_bootstrap -c tests/config/nextflow.config tags: - raxmlng files: @@ -11,7 +11,7 @@ - 'sample4:0.111' - name: raxmlng bootstrap - command: nextflow run ./tests/software/raxmlng -entry test_raxmlng_bootstrap -c tests/config/nextflow.config + command: nextflow run ./tests/modules/raxmlng -entry test_raxmlng_bootstrap -c tests/config/nextflow.config tags: - raxmlng files: diff --git a/tests/software/rsem/calculateexpression/main.nf b/tests/modules/rsem/calculateexpression/main.nf similarity index 70% rename from tests/software/rsem/calculateexpression/main.nf rename to tests/modules/rsem/calculateexpression/main.nf index 57177596..ee01687e 100644 --- a/tests/software/rsem/calculateexpression/main.nf +++ b/tests/modules/rsem/calculateexpression/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { RSEM_PREPAREREFERENCE } from '../../../../software/rsem/preparereference/main.nf' addParams(options: [args: "--star"]) -include { RSEM_CALCULATEEXPRESSION } from '../../../../software/rsem/calculateexpression/main.nf' addParams(options: [args: "--star --star-gzipped-read-file"]) +include { RSEM_PREPAREREFERENCE } from '../../../../modules/rsem/preparereference/main.nf' addParams(options: [args: "--star"]) +include { RSEM_CALCULATEEXPRESSION } from '../../../../modules/rsem/calculateexpression/main.nf' addParams(options: [args: "--star --star-gzipped-read-file"]) workflow test_rsem_calculateexpression { diff --git a/tests/software/rsem/calculateexpression/test.yml b/tests/modules/rsem/calculateexpression/test.yml similarity index 95% rename from tests/software/rsem/calculateexpression/test.yml rename to tests/modules/rsem/calculateexpression/test.yml index b4bb2e65..9eb5effc 100644 --- a/tests/software/rsem/calculateexpression/test.yml +++ b/tests/modules/rsem/calculateexpression/test.yml @@ -1,5 +1,5 @@ - name: rsem calculateexpression test_rsem_calculateexpression - command: nextflow run tests/software/rsem/calculateexpression -entry test_rsem_calculateexpression -c tests/config/nextflow.config + command: nextflow run tests/modules/rsem/calculateexpression -entry test_rsem_calculateexpression -c tests/config/nextflow.config tags: - rsem/calculateexpression - rsem diff --git a/tests/software/rsem/preparereference/main.nf b/tests/modules/rsem/preparereference/main.nf similarity index 73% rename from tests/software/rsem/preparereference/main.nf rename to tests/modules/rsem/preparereference/main.nf index 9e38acdf..a579960b 100644 --- a/tests/software/rsem/preparereference/main.nf +++ b/tests/modules/rsem/preparereference/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEM_PREPAREREFERENCE } from '../../../../software/rsem/preparereference/main.nf' addParams(options: [:]) +include { RSEM_PREPAREREFERENCE } from '../../../../modules/rsem/preparereference/main.nf' addParams(options: [:]) workflow test_rsem_preparereference { diff --git a/tests/software/rsem/preparereference/test.yml b/tests/modules/rsem/preparereference/test.yml similarity index 86% rename from tests/software/rsem/preparereference/test.yml rename to tests/modules/rsem/preparereference/test.yml index 78c5ac67..1526120e 100644 --- a/tests/software/rsem/preparereference/test.yml +++ b/tests/modules/rsem/preparereference/test.yml @@ -1,5 +1,5 @@ - name: rsem preparereference test_rsem_preparereference - command: nextflow run tests/software/rsem/preparereference -entry test_rsem_preparereference -c tests/config/nextflow.config + command: nextflow run tests/modules/rsem/preparereference -entry test_rsem_preparereference -c tests/config/nextflow.config tags: - rsem - rsem/preparereference diff --git a/tests/software/rseqc/bamstat/main.nf b/tests/modules/rseqc/bamstat/main.nf similarity index 72% rename from tests/software/rseqc/bamstat/main.nf rename to tests/modules/rseqc/bamstat/main.nf index 1b7220e4..c13e7f97 100644 --- a/tests/software/rseqc/bamstat/main.nf +++ b/tests/modules/rseqc/bamstat/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_BAMSTAT } from '../../../../software/rseqc/bamstat/main.nf' addParams(options: [:]) +include { RSEQC_BAMSTAT } from '../../../../modules/rseqc/bamstat/main.nf' addParams(options: [:]) workflow test_rseqc_bamstat { input = [ [ id:'test' ], // meta map diff --git a/tests/software/rseqc/bamstat/test.yml b/tests/modules/rseqc/bamstat/test.yml similarity index 61% rename from tests/software/rseqc/bamstat/test.yml rename to tests/modules/rseqc/bamstat/test.yml index 86e1bd0b..75d62672 100644 --- a/tests/software/rseqc/bamstat/test.yml +++ b/tests/modules/rseqc/bamstat/test.yml @@ -1,5 +1,5 @@ - name: rseqc bamstat test_rseqc_bamstat - command: nextflow run tests/software/rseqc/bamstat -entry test_rseqc_bamstat -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/bamstat -entry test_rseqc_bamstat -c tests/config/nextflow.config tags: - rseqc - rseqc/bamstat diff --git a/tests/software/rseqc/inferexperiment/main.nf b/tests/modules/rseqc/inferexperiment/main.nf similarity index 76% rename from tests/software/rseqc/inferexperiment/main.nf rename to tests/modules/rseqc/inferexperiment/main.nf index 4b1285ad..ae8c53a9 100644 --- a/tests/software/rseqc/inferexperiment/main.nf +++ b/tests/modules/rseqc/inferexperiment/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_INFEREXPERIMENT } from '../../../../software/rseqc/inferexperiment/main.nf' addParams(options: [:]) +include { RSEQC_INFEREXPERIMENT } from '../../../../modules/rseqc/inferexperiment/main.nf' addParams(options: [:]) workflow test_rseqc_inferexperiment { input = [ [ id:'test' ], // meta map diff --git a/tests/software/rseqc/inferexperiment/test.yml b/tests/modules/rseqc/inferexperiment/test.yml similarity index 62% rename from tests/software/rseqc/inferexperiment/test.yml rename to tests/modules/rseqc/inferexperiment/test.yml index af13d471..59d6f3d5 100644 --- a/tests/software/rseqc/inferexperiment/test.yml +++ b/tests/modules/rseqc/inferexperiment/test.yml @@ -1,5 +1,5 @@ - name: rseqc inferexperiment test_rseqc_inferexperiment - command: nextflow run tests/software/rseqc/inferexperiment -entry test_rseqc_inferexperiment -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/inferexperiment -entry test_rseqc_inferexperiment -c tests/config/nextflow.config tags: - rseqc - rseqc/inferexperiment diff --git a/tests/software/rseqc/innerdistance/main.nf b/tests/modules/rseqc/innerdistance/main.nf similarity index 77% rename from tests/software/rseqc/innerdistance/main.nf rename to tests/modules/rseqc/innerdistance/main.nf index 41663613..003e8a14 100644 --- a/tests/software/rseqc/innerdistance/main.nf +++ b/tests/modules/rseqc/innerdistance/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_INNERDISTANCE } from '../../../../software/rseqc/innerdistance/main.nf' addParams(options: [:]) +include { RSEQC_INNERDISTANCE } from '../../../../modules/rseqc/innerdistance/main.nf' addParams(options: [:]) workflow test_rseqc_innerdistance { input = [ [ id:'test', single_end: false ], // meta map diff --git a/tests/software/rseqc/innerdistance/test.yml b/tests/modules/rseqc/innerdistance/test.yml similarity index 81% rename from tests/software/rseqc/innerdistance/test.yml rename to tests/modules/rseqc/innerdistance/test.yml index a61cf259..b0ee0283 100644 --- a/tests/software/rseqc/innerdistance/test.yml +++ b/tests/modules/rseqc/innerdistance/test.yml @@ -1,5 +1,5 @@ - name: rseqc innerdistance test_rseqc_innerdistance - command: nextflow run tests/software/rseqc/innerdistance -entry test_rseqc_innerdistance -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/innerdistance -entry test_rseqc_innerdistance -c tests/config/nextflow.config tags: - rseqc - rseqc/innerdistance diff --git a/tests/software/rseqc/junctionannotation/main.nf b/tests/modules/rseqc/junctionannotation/main.nf similarity index 76% rename from tests/software/rseqc/junctionannotation/main.nf rename to tests/modules/rseqc/junctionannotation/main.nf index 5877247d..a6913850 100644 --- a/tests/software/rseqc/junctionannotation/main.nf +++ b/tests/modules/rseqc/junctionannotation/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_JUNCTIONANNOTATION } from '../../../../software/rseqc/junctionannotation/main.nf' addParams(options: [:]) +include { RSEQC_JUNCTIONANNOTATION } from '../../../../modules/rseqc/junctionannotation/main.nf' addParams(options: [:]) workflow test_rseqc_junctionannotation { input = [ diff --git a/tests/software/rseqc/junctionannotation/test.yml b/tests/modules/rseqc/junctionannotation/test.yml similarity index 66% rename from tests/software/rseqc/junctionannotation/test.yml rename to tests/modules/rseqc/junctionannotation/test.yml index e796ed10..39326f67 100644 --- a/tests/software/rseqc/junctionannotation/test.yml +++ b/tests/modules/rseqc/junctionannotation/test.yml @@ -1,5 +1,5 @@ - name: rseqc junctionannotation test_rseqc_junctionannotation - command: nextflow run tests/software/rseqc/junctionannotation -entry test_rseqc_junctionannotation -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/junctionannotation -entry test_rseqc_junctionannotation -c tests/config/nextflow.config tags: - rseqc - rseqc/junctionannotation diff --git a/tests/software/rseqc/junctionsaturation/main.nf b/tests/modules/rseqc/junctionsaturation/main.nf similarity index 76% rename from tests/software/rseqc/junctionsaturation/main.nf rename to tests/modules/rseqc/junctionsaturation/main.nf index 15532a1f..047fb372 100644 --- a/tests/software/rseqc/junctionsaturation/main.nf +++ b/tests/modules/rseqc/junctionsaturation/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_JUNCTIONSATURATION } from '../../../../software/rseqc/junctionsaturation/main.nf' addParams(options: [:]) +include { RSEQC_JUNCTIONSATURATION } from '../../../../modules/rseqc/junctionsaturation/main.nf' addParams(options: [:]) workflow test_rseqc_junctionsaturation { input = [ diff --git a/tests/software/rseqc/junctionsaturation/test.yml b/tests/modules/rseqc/junctionsaturation/test.yml similarity index 68% rename from tests/software/rseqc/junctionsaturation/test.yml rename to tests/modules/rseqc/junctionsaturation/test.yml index 1ed6d719..dfadb371 100644 --- a/tests/software/rseqc/junctionsaturation/test.yml +++ b/tests/modules/rseqc/junctionsaturation/test.yml @@ -1,5 +1,5 @@ - name: rseqc junctionsaturation test_rseqc_junctionsaturation - command: nextflow run tests/software/rseqc/junctionsaturation -entry test_rseqc_junctionsaturation -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/junctionsaturation -entry test_rseqc_junctionsaturation -c tests/config/nextflow.config tags: - rseqc/junctionsaturation - rseqc diff --git a/tests/software/rseqc/readdistribution/main.nf b/tests/modules/rseqc/readdistribution/main.nf similarity index 77% rename from tests/software/rseqc/readdistribution/main.nf rename to tests/modules/rseqc/readdistribution/main.nf index 616b1f0d..415aed9a 100644 --- a/tests/software/rseqc/readdistribution/main.nf +++ b/tests/modules/rseqc/readdistribution/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_READDISTRIBUTION } from '../../../../software/rseqc/readdistribution/main.nf' addParams(options: [:]) +include { RSEQC_READDISTRIBUTION } from '../../../../modules/rseqc/readdistribution/main.nf' addParams(options: [:]) workflow test_rseqc_readdistribution { input = [ [ id:'test', single_end: false ], // meta map diff --git a/tests/software/rseqc/readdistribution/test.yml b/tests/modules/rseqc/readdistribution/test.yml similarity index 62% rename from tests/software/rseqc/readdistribution/test.yml rename to tests/modules/rseqc/readdistribution/test.yml index 5b59a508..79e7e1d3 100644 --- a/tests/software/rseqc/readdistribution/test.yml +++ b/tests/modules/rseqc/readdistribution/test.yml @@ -1,5 +1,5 @@ - name: rseqc readdistribution test_rseqc_readdistribution - command: nextflow run tests/software/rseqc/readdistribution -entry test_rseqc_readdistribution -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/readdistribution -entry test_rseqc_readdistribution -c tests/config/nextflow.config tags: - rseqc - rseqc/readdistribution diff --git a/tests/software/rseqc/readduplication/main.nf b/tests/modules/rseqc/readduplication/main.nf similarity index 72% rename from tests/software/rseqc/readduplication/main.nf rename to tests/modules/rseqc/readduplication/main.nf index 35a81072..b94f6945 100644 --- a/tests/software/rseqc/readduplication/main.nf +++ b/tests/modules/rseqc/readduplication/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { RSEQC_READDUPLICATION } from '../../../../software/rseqc/readduplication/main.nf' addParams(options: [:]) +include { RSEQC_READDUPLICATION } from '../../../../modules/rseqc/readduplication/main.nf' addParams(options: [:]) workflow test_rseqc_readduplication { input = [ [ id:'test', single_end: false ], // meta map diff --git a/tests/software/rseqc/readduplication/test.yml b/tests/modules/rseqc/readduplication/test.yml similarity index 77% rename from tests/software/rseqc/readduplication/test.yml rename to tests/modules/rseqc/readduplication/test.yml index 73b11f91..2a4c9546 100644 --- a/tests/software/rseqc/readduplication/test.yml +++ b/tests/modules/rseqc/readduplication/test.yml @@ -1,5 +1,5 @@ - name: rseqc readduplication test_rseqc_readduplication - command: nextflow run tests/software/rseqc/readduplication -entry test_rseqc_readduplication -c tests/config/nextflow.config + command: nextflow run tests/modules/rseqc/readduplication -entry test_rseqc_readduplication -c tests/config/nextflow.config tags: - rseqc/readduplication - rseqc diff --git a/tests/software/salmon/index/main.nf b/tests/modules/salmon/index/main.nf similarity index 78% rename from tests/software/salmon/index/main.nf rename to tests/modules/salmon/index/main.nf index 1d79215f..d4c87c45 100644 --- a/tests/software/salmon/index/main.nf +++ b/tests/modules/salmon/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SALMON_INDEX } from '../../../../software/salmon/index/main.nf' addParams( options: [:] ) +include { SALMON_INDEX } from '../../../../modules/salmon/index/main.nf' addParams( options: [:] ) workflow test_salmon_index { genome_fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/salmon/index/test.yml b/tests/modules/salmon/index/test.yml similarity index 91% rename from tests/software/salmon/index/test.yml rename to tests/modules/salmon/index/test.yml index fee68bd0..722cd24f 100644 --- a/tests/software/salmon/index/test.yml +++ b/tests/modules/salmon/index/test.yml @@ -1,5 +1,5 @@ - name: salmon index - command: nextflow run ./tests/software/salmon/index -entry test_salmon_index -c tests/config/nextflow.config + command: nextflow run ./tests/modules/salmon/index -entry test_salmon_index -c tests/config/nextflow.config tags: - salmon - salmon/index diff --git a/tests/software/salmon/quant/main.nf b/tests/modules/salmon/quant/main.nf similarity index 90% rename from tests/software/salmon/quant/main.nf rename to tests/modules/salmon/quant/main.nf index a77b14f0..ad15870c 100644 --- a/tests/software/salmon/quant/main.nf +++ b/tests/modules/salmon/quant/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { SALMON_INDEX } from '../../../../software/salmon/index/main.nf' addParams( options: [:] ) -include { SALMON_QUANT } from '../../../../software/salmon/quant/main.nf' addParams( options: [args: '--minAssignedFrags 1'] ) +include { SALMON_INDEX } from '../../../../modules/salmon/index/main.nf' addParams( options: [:] ) +include { SALMON_QUANT } from '../../../../modules/salmon/quant/main.nf' addParams( options: [args: '--minAssignedFrags 1'] ) workflow test_salmon_quant_single_end { diff --git a/tests/software/salmon/quant/test.yml b/tests/modules/salmon/quant/test.yml similarity index 94% rename from tests/software/salmon/quant/test.yml rename to tests/modules/salmon/quant/test.yml index 872c18d7..5a1ebdd8 100644 --- a/tests/software/salmon/quant/test.yml +++ b/tests/modules/salmon/quant/test.yml @@ -1,5 +1,5 @@ - name: salmon quant single-end - command: nextflow run ./tests/software/salmon/quant -entry test_salmon_quant_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/salmon/quant -entry test_salmon_quant_single_end -c tests/config/nextflow.config tags: - salmon - salmon/quant @@ -50,7 +50,7 @@ - path: ./output/index/salmon/seq.bin - name: salmon quant paired end - command: nextflow run ./tests/software/salmon/quant -entry test_salmon_quant_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/salmon/quant -entry test_salmon_quant_paired_end -c tests/config/nextflow.config tags: - salmon - salmon/quant @@ -102,7 +102,7 @@ - path: ./output/index/salmon/seq.bin - name: salmon quant test_salmon_quant_single_end_lib_type_A - command: nextflow run tests/software/salmon/quant -entry test_salmon_quant_single_end_lib_type_A -c tests/config/nextflow.config + command: nextflow run tests/modules/salmon/quant -entry test_salmon_quant_single_end_lib_type_A -c tests/config/nextflow.config tags: - salmon/quant - salmon diff --git a/tests/software/samtools/faidx/main.nf b/tests/modules/samtools/faidx/main.nf similarity index 66% rename from tests/software/samtools/faidx/main.nf rename to tests/modules/samtools/faidx/main.nf index e977cf0b..0102af28 100644 --- a/tests/software/samtools/faidx/main.nf +++ b/tests/modules/samtools/faidx/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_FAIDX } from '../../../../software/samtools/faidx/main.nf' addParams( options: [:] ) +include { SAMTOOLS_FAIDX } from '../../../../modules/samtools/faidx/main.nf' addParams( options: [:] ) workflow test_samtools_faidx { fasta = file(params.test_data['sarscov2']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/samtools/faidx/test.yml b/tests/modules/samtools/faidx/test.yml similarity index 62% rename from tests/software/samtools/faidx/test.yml rename to tests/modules/samtools/faidx/test.yml index 37d59d2c..bcadf955 100644 --- a/tests/software/samtools/faidx/test.yml +++ b/tests/modules/samtools/faidx/test.yml @@ -1,5 +1,5 @@ - name: Run samtools faidx test workflow - command: nextflow run tests/software/samtools/faidx -entry test_samtools_faidx -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/faidx -entry test_samtools_faidx -c tests/config/nextflow.config tags: - samtools - samtools/faidx diff --git a/tests/software/samtools/fastq/main.nf b/tests/modules/samtools/fastq/main.nf similarity index 73% rename from tests/software/samtools/fastq/main.nf rename to tests/modules/samtools/fastq/main.nf index 92c78049..94ad9471 100644 --- a/tests/software/samtools/fastq/main.nf +++ b/tests/modules/samtools/fastq/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_FASTQ } from '../../../../software/samtools/fastq/main.nf' addParams( options: [:] ) +include { SAMTOOLS_FASTQ } from '../../../../modules/samtools/fastq/main.nf' addParams( options: [:] ) workflow test_samtools_fastq { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/fastq/test.yml b/tests/modules/samtools/fastq/test.yml similarity index 71% rename from tests/software/samtools/fastq/test.yml rename to tests/modules/samtools/fastq/test.yml index f9c736a2..3fdc0ef6 100644 --- a/tests/software/samtools/fastq/test.yml +++ b/tests/modules/samtools/fastq/test.yml @@ -1,5 +1,5 @@ - name: samtools fastq test_samtools_fastq - command: nextflow run tests/software/samtools/fastq -entry test_samtools_fastq -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/fastq -entry test_samtools_fastq -c tests/config/nextflow.config tags: - samtools - samtools/fastq diff --git a/tests/software/samtools/flagstat/main.nf b/tests/modules/samtools/flagstat/main.nf similarity index 79% rename from tests/software/samtools/flagstat/main.nf rename to tests/modules/samtools/flagstat/main.nf index b785e2c2..a31a7d22 100644 --- a/tests/software/samtools/flagstat/main.nf +++ b/tests/modules/samtools/flagstat/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_FLAGSTAT } from '../../../../software/samtools/flagstat/main.nf' addParams( options: [:] ) +include { SAMTOOLS_FLAGSTAT } from '../../../../modules/samtools/flagstat/main.nf' addParams( options: [:] ) workflow test_samtools_flagstat { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/flagstat/test.yml b/tests/modules/samtools/flagstat/test.yml similarity index 61% rename from tests/software/samtools/flagstat/test.yml rename to tests/modules/samtools/flagstat/test.yml index fe56aafd..18671e25 100644 --- a/tests/software/samtools/flagstat/test.yml +++ b/tests/modules/samtools/flagstat/test.yml @@ -1,5 +1,5 @@ - name: samtools flagstat - command: nextflow run ./tests/software/samtools/flagstat -entry test_samtools_flagstat -c tests/config/nextflow.config + command: nextflow run ./tests/modules/samtools/flagstat -entry test_samtools_flagstat -c tests/config/nextflow.config tags: - samtools - samtools/flagstat diff --git a/tests/software/samtools/idxstats/main.nf b/tests/modules/samtools/idxstats/main.nf similarity index 79% rename from tests/software/samtools/idxstats/main.nf rename to tests/modules/samtools/idxstats/main.nf index 5d6def09..9919c3e4 100644 --- a/tests/software/samtools/idxstats/main.nf +++ b/tests/modules/samtools/idxstats/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_IDXSTATS } from '../../../../software/samtools/idxstats/main.nf' addParams( options: [:] ) +include { SAMTOOLS_IDXSTATS } from '../../../../modules/samtools/idxstats/main.nf' addParams( options: [:] ) workflow test_samtools_idxstats { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/idxstats/test.yml b/tests/modules/samtools/idxstats/test.yml similarity index 61% rename from tests/software/samtools/idxstats/test.yml rename to tests/modules/samtools/idxstats/test.yml index d88b0e8e..6064ca56 100644 --- a/tests/software/samtools/idxstats/test.yml +++ b/tests/modules/samtools/idxstats/test.yml @@ -1,5 +1,5 @@ - name: samtools idxstats - command: nextflow run ./tests/software/samtools/idxstats -entry test_samtools_idxstats -c tests/config/nextflow.config + command: nextflow run ./tests/modules/samtools/idxstats -entry test_samtools_idxstats -c tests/config/nextflow.config tags: - samtools - samtools/idxstats diff --git a/tests/software/samtools/index/main.nf b/tests/modules/samtools/index/main.nf similarity index 84% rename from tests/software/samtools/index/main.nf rename to tests/modules/samtools/index/main.nf index d5a29e80..be9014e0 100644 --- a/tests/software/samtools/index/main.nf +++ b/tests/modules/samtools/index/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_INDEX as SAMTOOLS_INDEX_BAI } from '../../../../software/samtools/index/main.nf' addParams( options: [:] ) -include { SAMTOOLS_INDEX as SAMTOOLS_INDEX_CSI } from '../../../../software/samtools/index/main.nf' addParams( options: [args:'-c'] ) +include { SAMTOOLS_INDEX as SAMTOOLS_INDEX_BAI } from '../../../../modules/samtools/index/main.nf' addParams( options: [:] ) +include { SAMTOOLS_INDEX as SAMTOOLS_INDEX_CSI } from '../../../../modules/samtools/index/main.nf' addParams( options: [args:'-c'] ) workflow test_samtools_index_bai { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/index/test.yml b/tests/modules/samtools/index/test.yml similarity index 61% rename from tests/software/samtools/index/test.yml rename to tests/modules/samtools/index/test.yml index e8dc21e7..31941dd6 100644 --- a/tests/software/samtools/index/test.yml +++ b/tests/modules/samtools/index/test.yml @@ -1,5 +1,5 @@ - name: samtools index bai - command: nextflow run tests/software/samtools/index -entry test_samtools_index_bai -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/index -entry test_samtools_index_bai -c tests/config/nextflow.config tags: - samtools - samtools/index @@ -8,7 +8,7 @@ md5sum: 704c10dd1326482448ca3073fdebc2f4 - name: samtools index csi - command: nextflow run tests/software/samtools/index -entry test_samtools_index_csi -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/index -entry test_samtools_index_csi -c tests/config/nextflow.config tags: - samtools - samtools/index diff --git a/tests/software/samtools/merge/main.nf b/tests/modules/samtools/merge/main.nf similarity index 83% rename from tests/software/samtools/merge/main.nf rename to tests/modules/samtools/merge/main.nf index 14973115..75ba886b 100644 --- a/tests/software/samtools/merge/main.nf +++ b/tests/modules/samtools/merge/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_MERGE } from '../../../../software/samtools/merge/main.nf' addParams( options: [:] ) +include { SAMTOOLS_MERGE } from '../../../../modules/samtools/merge/main.nf' addParams( options: [:] ) workflow test_samtools_merge { input = [ [ id: 'test' ], // meta map diff --git a/tests/software/samtools/merge/test.yml b/tests/modules/samtools/merge/test.yml similarity index 51% rename from tests/software/samtools/merge/test.yml rename to tests/modules/samtools/merge/test.yml index c9d2c1f6..d0674ca4 100644 --- a/tests/software/samtools/merge/test.yml +++ b/tests/modules/samtools/merge/test.yml @@ -1,5 +1,5 @@ - name: samtools merge - command: nextflow run ./tests/software/samtools/merge -entry test_samtools_merge -c tests/config/nextflow.config + command: nextflow run ./tests/modules/samtools/merge -entry test_samtools_merge -c tests/config/nextflow.config tags: - samtools - samtools/merge diff --git a/tests/software/samtools/mpileup/main.nf b/tests/modules/samtools/mpileup/main.nf similarity index 78% rename from tests/software/samtools/mpileup/main.nf rename to tests/modules/samtools/mpileup/main.nf index 334081bb..b8db0275 100644 --- a/tests/software/samtools/mpileup/main.nf +++ b/tests/modules/samtools/mpileup/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_MPILEUP } from '../../../../software/samtools/mpileup/main.nf' addParams( options: [:] ) +include { SAMTOOLS_MPILEUP } from '../../../../modules/samtools/mpileup/main.nf' addParams( options: [:] ) workflow test_samtools_mpileup { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/mpileup/test.yml b/tests/modules/samtools/mpileup/test.yml similarity index 58% rename from tests/software/samtools/mpileup/test.yml rename to tests/modules/samtools/mpileup/test.yml index 2f1e7010..31d35c0f 100644 --- a/tests/software/samtools/mpileup/test.yml +++ b/tests/modules/samtools/mpileup/test.yml @@ -1,5 +1,5 @@ - name: samtools mpileup - command: nextflow run ./tests/software/samtools/mpileup -entry test_samtools_mpileup -c tests/config/nextflow.config + command: nextflow run ./tests/modules/samtools/mpileup -entry test_samtools_mpileup -c tests/config/nextflow.config tags: - samtools - samtools/mpileup diff --git a/tests/software/samtools/sort/main.nf b/tests/modules/samtools/sort/main.nf similarity index 74% rename from tests/software/samtools/sort/main.nf rename to tests/modules/samtools/sort/main.nf index fd30d7ce..91cd4d01 100644 --- a/tests/software/samtools/sort/main.nf +++ b/tests/modules/samtools/sort/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_SORT } from '../../../../software/samtools/sort/main.nf' addParams( options: [:] ) +include { SAMTOOLS_SORT } from '../../../../modules/samtools/sort/main.nf' addParams( options: [:] ) workflow test_samtools_sort { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/sort/test.yml b/tests/modules/samtools/sort/test.yml similarity index 58% rename from tests/software/samtools/sort/test.yml rename to tests/modules/samtools/sort/test.yml index fbe43f00..2ce00247 100644 --- a/tests/software/samtools/sort/test.yml +++ b/tests/modules/samtools/sort/test.yml @@ -1,5 +1,5 @@ - name: samtools sort - command: nextflow run tests/software/samtools/sort -entry test_samtools_sort -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/sort -entry test_samtools_sort -c tests/config/nextflow.config tags: - samtools - samtools/sort diff --git a/tests/software/samtools/stats/main.nf b/tests/modules/samtools/stats/main.nf similarity index 80% rename from tests/software/samtools/stats/main.nf rename to tests/modules/samtools/stats/main.nf index 1e8677a4..04a689fe 100644 --- a/tests/software/samtools/stats/main.nf +++ b/tests/modules/samtools/stats/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_STATS } from '../../../../software/samtools/stats/main.nf' addParams( options: [:] ) +include { SAMTOOLS_STATS } from '../../../../modules/samtools/stats/main.nf' addParams( options: [:] ) workflow test_samtools_stats { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/stats/test.yml b/tests/modules/samtools/stats/test.yml similarity index 61% rename from tests/software/samtools/stats/test.yml rename to tests/modules/samtools/stats/test.yml index 70e996ad..32854c05 100644 --- a/tests/software/samtools/stats/test.yml +++ b/tests/modules/samtools/stats/test.yml @@ -1,5 +1,5 @@ - name: samtools stats - command: nextflow run ./tests/software/samtools/stats -entry test_samtools_stats -c tests/config/nextflow.config + command: nextflow run ./tests/modules/samtools/stats -entry test_samtools_stats -c tests/config/nextflow.config tags: - samtools - samtools/stats diff --git a/tests/software/samtools/view/main.nf b/tests/modules/samtools/view/main.nf similarity index 74% rename from tests/software/samtools/view/main.nf rename to tests/modules/samtools/view/main.nf index 988e984d..c60acb73 100644 --- a/tests/software/samtools/view/main.nf +++ b/tests/modules/samtools/view/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SAMTOOLS_VIEW } from '../../../../software/samtools/view/main.nf' addParams( options: [:] ) +include { SAMTOOLS_VIEW } from '../../../../modules/samtools/view/main.nf' addParams( options: [:] ) workflow test_samtools_view { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/samtools/view/test.yml b/tests/modules/samtools/view/test.yml similarity index 58% rename from tests/software/samtools/view/test.yml rename to tests/modules/samtools/view/test.yml index 95867c5a..383dfa87 100644 --- a/tests/software/samtools/view/test.yml +++ b/tests/modules/samtools/view/test.yml @@ -1,5 +1,5 @@ - name: samtools view - command: nextflow run tests/software/samtools/view -entry test_samtools_view -c tests/config/nextflow.config + command: nextflow run tests/modules/samtools/view -entry test_samtools_view -c tests/config/nextflow.config tags: - samtools - samtools/view diff --git a/tests/software/seacr/callpeak/main.nf b/tests/modules/seacr/callpeak/main.nf similarity index 75% rename from tests/software/seacr/callpeak/main.nf rename to tests/modules/seacr/callpeak/main.nf index e80f4b13..82fd6eb3 100644 --- a/tests/software/seacr/callpeak/main.nf +++ b/tests/modules/seacr/callpeak/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEACR_CALLPEAK } from '../../../../software/seacr/callpeak/main.nf' addParams( options: [ args:'norm stringent' ] ) +include { SEACR_CALLPEAK } from '../../../../modules/seacr/callpeak/main.nf' addParams( options: [ args:'norm stringent' ] ) workflow test_seacr_callpeak { input = [ [ id:'test_1'], diff --git a/tests/software/seacr/callpeak/test.yml b/tests/modules/seacr/callpeak/test.yml similarity index 58% rename from tests/software/seacr/callpeak/test.yml rename to tests/modules/seacr/callpeak/test.yml index d110df9a..4b9790a4 100644 --- a/tests/software/seacr/callpeak/test.yml +++ b/tests/modules/seacr/callpeak/test.yml @@ -1,5 +1,5 @@ - name: seacr callpeak - command: nextflow run ./tests/software/seacr/callpeak -entry test_seacr_callpeak -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seacr/callpeak -entry test_seacr_callpeak -c tests/config/nextflow.config tags: - seacr - seacr/callpeak diff --git a/tests/software/seqkit/split2/main.nf b/tests/modules/seqkit/split2/main.nf similarity index 89% rename from tests/software/seqkit/split2/main.nf rename to tests/modules/seqkit/split2/main.nf index 1bb93d43..21626cac 100644 --- a/tests/software/seqkit/split2/main.nf +++ b/tests/modules/seqkit/split2/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_LENGTH } from '../../../../software/seqkit/split2/main.nf' addParams( options: ['args': '--by-length 8K'] ) -include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_SIZE } from '../../../../software/seqkit/split2/main.nf' addParams( options: ['args': '--by-size 50' ] ) -include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_PART } from '../../../../software/seqkit/split2/main.nf' addParams( options: ['args': '--by-part 3'] ) +include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_LENGTH } from '../../../../modules/seqkit/split2/main.nf' addParams( options: ['args': '--by-length 8K'] ) +include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_SIZE } from '../../../../modules/seqkit/split2/main.nf' addParams( options: ['args': '--by-size 50' ] ) +include { SEQKIT_SPLIT2 as SEQKIT_SPLIT2_PART } from '../../../../modules/seqkit/split2/main.nf' addParams( options: ['args': '--by-part 3'] ) workflow test_seqkit_split2_single_end_length { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/seqkit/split2/test.yml b/tests/modules/seqkit/split2/test.yml similarity index 78% rename from tests/software/seqkit/split2/test.yml rename to tests/modules/seqkit/split2/test.yml index a85da4f1..b3e0e020 100644 --- a/tests/software/seqkit/split2/test.yml +++ b/tests/modules/seqkit/split2/test.yml @@ -1,5 +1,5 @@ - name: seqkit split2 single-end length - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_single_end_length -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_single_end_length -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 @@ -10,7 +10,7 @@ md5sum: cf38c51506e45380fe25abdd1bd5ccc6 - name: seqkit split2 single-end size - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_single_end_size -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_single_end_size -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 @@ -21,7 +21,7 @@ md5sum: 703d95ff4fbb5b7fb4da8a164ba9aa54 - name: seqkit split2 single-end part - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_single_end_part -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_single_end_part -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 @@ -34,7 +34,7 @@ md5sum: 8bc86ba83a611c54f592f4eae19b680f - name: seqkit split2 paired-end length - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_paired_end_length -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_paired_end_length -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 @@ -49,7 +49,7 @@ md5sum: 927097c6ac7522199a9e016333181a8e - name: seqkit split2 paired-end size - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_paired_end_size -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_paired_end_size -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 @@ -64,7 +64,7 @@ md5sum: 8796c3f327b1094244bfcdb36d536526 - name: seqkit split2 paired-end part - command: nextflow run ./tests/software/seqkit/split2 -entry test_seqkit_split2_paired_end_part -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqkit/split2 -entry test_seqkit_split2_paired_end_part -c tests/config/nextflow.config tags: - seqkit - seqkit/split2 diff --git a/tests/software/seqtk/sample/main.nf b/tests/modules/seqtk/sample/main.nf similarity index 83% rename from tests/software/seqtk/sample/main.nf rename to tests/modules/seqtk/sample/main.nf index d10228d6..4508db84 100644 --- a/tests/software/seqtk/sample/main.nf +++ b/tests/modules/seqtk/sample/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEQTK_SAMPLE } from '../../../../software/seqtk/sample/main.nf' addParams( options: [ 'args': '-s100', 'suffix':'.sampled' ] ) +include { SEQTK_SAMPLE } from '../../../../modules/seqtk/sample/main.nf' addParams( options: [ 'args': '-s100', 'suffix':'.sampled' ] ) // // Test with single-end data diff --git a/tests/software/seqtk/sample/test.yml b/tests/modules/seqtk/sample/test.yml similarity index 66% rename from tests/software/seqtk/sample/test.yml rename to tests/modules/seqtk/sample/test.yml index bea521a4..d4cf2ca9 100644 --- a/tests/software/seqtk/sample/test.yml +++ b/tests/modules/seqtk/sample/test.yml @@ -1,5 +1,5 @@ - name: seqtk sample test_seqtk_sample_single_end - command: nextflow run tests/software/seqtk/sample -entry test_seqtk_sample_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/seqtk/sample -entry test_seqtk_sample_single_end -c tests/config/nextflow.config tags: - seqtk - seqtk/sample @@ -8,7 +8,7 @@ md5sum: 73c3e8f113860244f3ed3866a8b9d555 - name: seqtk sample test_seqtk_sample_paired_end - command: nextflow run tests/software/seqtk/sample -entry test_seqtk_sample_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/seqtk/sample -entry test_seqtk_sample_paired_end -c tests/config/nextflow.config tags: - seqtk - seqtk/sample diff --git a/tests/software/seqtk/subseq/main.nf b/tests/modules/seqtk/subseq/main.nf similarity index 73% rename from tests/software/seqtk/subseq/main.nf rename to tests/modules/seqtk/subseq/main.nf index 676ddace..7c5dc7b2 100644 --- a/tests/software/seqtk/subseq/main.nf +++ b/tests/modules/seqtk/subseq/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEQTK_SUBSEQ } from '../../../../software/seqtk/subseq/main.nf' addParams( options: ['suffix':'.filtered'] ) +include { SEQTK_SUBSEQ } from '../../../../modules/seqtk/subseq/main.nf' addParams( options: ['suffix':'.filtered'] ) workflow test_seqtk_subseq { diff --git a/tests/software/seqtk/subseq/test.yml b/tests/modules/seqtk/subseq/test.yml similarity index 63% rename from tests/software/seqtk/subseq/test.yml rename to tests/modules/seqtk/subseq/test.yml index 161cca7b..fca64804 100644 --- a/tests/software/seqtk/subseq/test.yml +++ b/tests/modules/seqtk/subseq/test.yml @@ -1,5 +1,5 @@ - name: seqtk subseq test_seqtk_subseq - command: nextflow run tests/software/seqtk/subseq -entry test_seqtk_subseq -c tests/config/nextflow.config + command: nextflow run tests/modules/seqtk/subseq -entry test_seqtk_subseq -c tests/config/nextflow.config tags: - seqtk - seqtk/subseq diff --git a/tests/software/sequenzautils/bam2seqz/main.nf b/tests/modules/sequenzautils/bam2seqz/main.nf similarity index 84% rename from tests/software/sequenzautils/bam2seqz/main.nf rename to tests/modules/sequenzautils/bam2seqz/main.nf index 4268ca01..ae478b88 100755 --- a/tests/software/sequenzautils/bam2seqz/main.nf +++ b/tests/modules/sequenzautils/bam2seqz/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEQUENZAUTILS_BAM2SEQZ } from '../../../../software/sequenzautils/bam2seqz/main.nf' addParams( options: [:] ) +include { SEQUENZAUTILS_BAM2SEQZ } from '../../../../modules/sequenzautils/bam2seqz/main.nf' addParams( options: [:] ) workflow test_sequenzautils_bam2seqz { diff --git a/tests/software/sequenzautils/bam2seqz/test.yml b/tests/modules/sequenzautils/bam2seqz/test.yml similarity index 59% rename from tests/software/sequenzautils/bam2seqz/test.yml rename to tests/modules/sequenzautils/bam2seqz/test.yml index 2bd65d4c..d8a21430 100644 --- a/tests/software/sequenzautils/bam2seqz/test.yml +++ b/tests/modules/sequenzautils/bam2seqz/test.yml @@ -1,5 +1,5 @@ - name: sequenzautils bam2seqz - command: nextflow run ./tests/software/sequenzautils/bam2seqz -entry test_sequenzautils_bam2seqz -c tests/config/nextflow.config + command: nextflow run ./tests/modules/sequenzautils/bam2seqz -entry test_sequenzautils_bam2seqz -c tests/config/nextflow.config tags: - sequenzautils - sequenzautils/bam2seqz diff --git a/tests/software/sequenzautils/gcwiggle/main.nf b/tests/modules/sequenzautils/gcwiggle/main.nf similarity index 67% rename from tests/software/sequenzautils/gcwiggle/main.nf rename to tests/modules/sequenzautils/gcwiggle/main.nf index 74505b90..e314f1e0 100644 --- a/tests/software/sequenzautils/gcwiggle/main.nf +++ b/tests/modules/sequenzautils/gcwiggle/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEQUENZAUTILS_GCWIGGLE } from '../../../../software/sequenzautils/gcwiggle/main.nf' addParams( options: [ 'args': '-w 50' ] ) +include { SEQUENZAUTILS_GCWIGGLE } from '../../../../modules/sequenzautils/gcwiggle/main.nf' addParams( options: [ 'args': '-w 50' ] ) workflow test_sequenzautils_gcwiggle { input = [ [ id:'test' ], // meta map diff --git a/tests/software/sequenzautils/gcwiggle/test.yml b/tests/modules/sequenzautils/gcwiggle/test.yml similarity index 68% rename from tests/software/sequenzautils/gcwiggle/test.yml rename to tests/modules/sequenzautils/gcwiggle/test.yml index db656799..aa7a3167 100644 --- a/tests/software/sequenzautils/gcwiggle/test.yml +++ b/tests/modules/sequenzautils/gcwiggle/test.yml @@ -1,7 +1,7 @@ ## TODO nf-core: Please run the following command to build this file: # nf-core modules create-test-yml sequenzautils/gcwiggle - name: sequenzautils gcwiggle - command: nextflow run ./tests/software/sequenzautils/gcwiggle -entry test_sequenzautils_gcwiggle -c tests/config/nextflow.config + command: nextflow run ./tests/modules/sequenzautils/gcwiggle -entry test_sequenzautils_gcwiggle -c tests/config/nextflow.config tags: - sequenzautils - sequenzautils/gcwiggle diff --git a/tests/software/seqwish/induce/main.nf b/tests/modules/seqwish/induce/main.nf similarity index 78% rename from tests/software/seqwish/induce/main.nf rename to tests/modules/seqwish/induce/main.nf index 30ea65c1..356ca705 100644 --- a/tests/software/seqwish/induce/main.nf +++ b/tests/modules/seqwish/induce/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SEQWISH_INDUCE } from '../../../../software/seqwish/induce/main.nf' addParams( options: [:] ) +include { SEQWISH_INDUCE } from '../../../../modules/seqwish/induce/main.nf' addParams( options: [:] ) workflow test_seqwish_induce { input = [ [ id:'test' ], // meta map diff --git a/tests/software/seqwish/induce/test.yml b/tests/modules/seqwish/induce/test.yml similarity index 57% rename from tests/software/seqwish/induce/test.yml rename to tests/modules/seqwish/induce/test.yml index ed657a84..d27de3c4 100644 --- a/tests/software/seqwish/induce/test.yml +++ b/tests/modules/seqwish/induce/test.yml @@ -1,5 +1,5 @@ - name: seqwish induce - command: nextflow run ./tests/software/seqwish/induce -entry test_seqwish_induce -c tests/config/nextflow.config + command: nextflow run ./tests/modules/seqwish/induce -entry test_seqwish_induce -c tests/config/nextflow.config tags: - seqwish - seqwish/induce diff --git a/tests/software/shovill/main.nf b/tests/modules/shovill/main.nf similarity index 71% rename from tests/software/shovill/main.nf rename to tests/modules/shovill/main.nf index 2a1f65b8..acc65169 100644 --- a/tests/software/shovill/main.nf +++ b/tests/modules/shovill/main.nf @@ -2,10 +2,10 @@ nextflow.enable.dsl = 2 -include { SHOVILL } from '../../../software/shovill/main.nf' addParams( options: [args: '--gsize 2800000 --kmers 31'] ) -include { SHOVILL as SHOVILL_SKESA } from '../../../software/shovill/main.nf' addParams( options: [args: '--assembler skesa --gsize 2800000'] ) -include { SHOVILL as SHOVILL_MEGAHIT } from '../../../software/shovill/main.nf' addParams( options: [args: '--assembler megahit --gsize 2800000'] ) -include { SHOVILL as SHOVILL_VELVET } from '../../../software/shovill/main.nf' addParams( options: [args: '--assembler velvet --gsize 2800000'] ) +include { SHOVILL } from '../../../modules/shovill/main.nf' addParams( options: [args: '--gsize 2800000 --kmers 31'] ) +include { SHOVILL as SHOVILL_SKESA } from '../../../modules/shovill/main.nf' addParams( options: [args: '--assembler skesa --gsize 2800000'] ) +include { SHOVILL as SHOVILL_MEGAHIT } from '../../../modules/shovill/main.nf' addParams( options: [args: '--assembler megahit --gsize 2800000'] ) +include { SHOVILL as SHOVILL_VELVET } from '../../../modules/shovill/main.nf' addParams( options: [args: '--assembler velvet --gsize 2800000'] ) workflow test_shovill { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/shovill/test.yml b/tests/modules/shovill/test.yml similarity index 77% rename from tests/software/shovill/test.yml rename to tests/modules/shovill/test.yml index bc3ca318..a716bc66 100644 --- a/tests/software/shovill/test.yml +++ b/tests/modules/shovill/test.yml @@ -1,5 +1,5 @@ - name: shovill with spades - command: nextflow run ./tests/software/shovill -entry test_shovill -c tests/config/nextflow.config + command: nextflow run ./tests/modules/shovill -entry test_shovill -c tests/config/nextflow.config tags: - shovill files: @@ -13,7 +13,7 @@ - path: output/shovill/shovill.log - name: shovill with megahit - command: nextflow run ./tests/software/shovill -entry test_shovill_megahit -c tests/config/nextflow.config + command: nextflow run ./tests/modules/shovill -entry test_shovill_megahit -c tests/config/nextflow.config tags: - shovill files: @@ -26,7 +26,7 @@ - path: output/shovill/shovill.log - name: shovill with skesa - command: nextflow run ./tests/software/shovill -entry test_shovill_skesa -c tests/config/nextflow.config + command: nextflow run ./tests/modules/shovill -entry test_shovill_skesa -c tests/config/nextflow.config tags: - shovill files: @@ -39,7 +39,7 @@ - path: output/shovill/shovill.log - name: shovill with velvet - command: nextflow run ./tests/software/shovill -entry test_shovill_velvet -c tests/config/nextflow.config + command: nextflow run ./tests/modules/shovill -entry test_shovill_velvet -c tests/config/nextflow.config tags: - shovill files: diff --git a/tests/software/snpeff/main.nf b/tests/modules/snpeff/main.nf similarity index 69% rename from tests/software/snpeff/main.nf rename to tests/modules/snpeff/main.nf index 9572fe2c..923f98f4 100644 --- a/tests/software/snpeff/main.nf +++ b/tests/modules/snpeff/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SNPEFF } from '../../../software/snpeff/main.nf' addParams( snpeff_tag: '5.0.WBcel235', use_cache: false ) +include { SNPEFF } from '../../../modules/snpeff/main.nf' addParams( snpeff_tag: '5.0.WBcel235', use_cache: false ) workflow test_snpeff { input = [ [ id:'test' ], // meta map diff --git a/tests/software/snpeff/test.yml b/tests/modules/snpeff/test.yml similarity index 64% rename from tests/software/snpeff/test.yml rename to tests/modules/snpeff/test.yml index bec305d3..44eba200 100644 --- a/tests/software/snpeff/test.yml +++ b/tests/modules/snpeff/test.yml @@ -1,5 +1,5 @@ - name: snpeff test_snpeff - command: nextflow run tests/software/snpeff -entry test_snpeff -c tests/config/nextflow.config + command: nextflow run tests/modules/snpeff -entry test_snpeff -c tests/config/nextflow.config tags: - snpeff files: diff --git a/tests/software/snpsites/main.nf b/tests/modules/snpsites/main.nf similarity index 69% rename from tests/software/snpsites/main.nf rename to tests/modules/snpsites/main.nf index b5f69dcd..df2a6852 100644 --- a/tests/software/snpsites/main.nf +++ b/tests/modules/snpsites/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SNPSITES } from '../../../software/snpsites/main.nf' addParams( options: [:] ) +include { SNPSITES } from '../../../modules/snpsites/main.nf' addParams( options: [:] ) workflow test_snpsites { diff --git a/tests/software/snpsites/test.yml b/tests/modules/snpsites/test.yml similarity index 69% rename from tests/software/snpsites/test.yml rename to tests/modules/snpsites/test.yml index 5e212db4..8361cd05 100644 --- a/tests/software/snpsites/test.yml +++ b/tests/modules/snpsites/test.yml @@ -1,5 +1,5 @@ - name: snpsites - command: nextflow run ./tests/software/snpsites -entry test_snpsites -c tests/config/nextflow.config + command: nextflow run ./tests/modules/snpsites -entry test_snpsites -c tests/config/nextflow.config tags: - snpsites files: diff --git a/tests/software/spades/main.nf b/tests/modules/spades/main.nf similarity index 83% rename from tests/software/spades/main.nf rename to tests/modules/spades/main.nf index 41fbe673..a8518a0e 100644 --- a/tests/software/spades/main.nf +++ b/tests/modules/spades/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SPADES } from '../../../software/spades/main.nf' addParams( spades_hmm: false ,options: ['args': '--rnaviral'] ) +include { SPADES } from '../../../modules/spades/main.nf' addParams( spades_hmm: false ,options: ['args': '--rnaviral'] ) workflow test_spades_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/spades/test.yml b/tests/modules/spades/test.yml similarity index 74% rename from tests/software/spades/test.yml rename to tests/modules/spades/test.yml index e2bccf47..eeff24cd 100644 --- a/tests/software/spades/test.yml +++ b/tests/modules/spades/test.yml @@ -1,5 +1,5 @@ - name: spades single end - command: nextflow run ./tests/software/spades -entry test_spades_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/spades -entry test_spades_single_end -c tests/config/nextflow.config tags: - spades files: @@ -12,7 +12,7 @@ - path: output/spades/test.spades.log - name: spades paired end - command: nextflow run ./tests/software/spades -entry test_spades_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/spades -entry test_spades_paired_end -c tests/config/nextflow.config tags: - spades files: diff --git a/tests/software/star/align/main.nf b/tests/modules/star/align/main.nf similarity index 81% rename from tests/software/star/align/main.nf rename to tests/modules/star/align/main.nf index e41891b2..d280aeae 100644 --- a/tests/software/star/align/main.nf +++ b/tests/modules/star/align/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { STAR_GENOMEGENERATE } from '../../../../software/star/genomegenerate/main.nf' addParams( options: [args: '--genomeSAindexNbases 9'] ) -include { STAR_ALIGN } from '../../../../software/star/align/main.nf' addParams( options: [args: '--readFilesCommand zcat'] ) +include { STAR_GENOMEGENERATE } from '../../../../modules/star/genomegenerate/main.nf' addParams( options: [args: '--genomeSAindexNbases 9'] ) +include { STAR_ALIGN } from '../../../../modules/star/align/main.nf' addParams( options: [args: '--readFilesCommand zcat'] ) workflow test_star_alignment_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/star/align/test.yml b/tests/modules/star/align/test.yml similarity index 92% rename from tests/software/star/align/test.yml rename to tests/modules/star/align/test.yml index 7cec005e..d6bfb7fa 100644 --- a/tests/software/star/align/test.yml +++ b/tests/modules/star/align/test.yml @@ -1,5 +1,5 @@ - name: star align single-end - command: nextflow run ./tests/software/star/align -entry test_star_alignment_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/star/align -entry test_star_alignment_single_end -c tests/config/nextflow.config tags: - star - star/align @@ -36,7 +36,7 @@ md5sum: d41d8cd98f00b204e9800998ecf8427e - name: star align paired-end - command: nextflow run ./tests/software/star/align -entry test_star_alignment_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/star/align -entry test_star_alignment_paired_end -c tests/config/nextflow.config tags: - star - star/align diff --git a/tests/software/star/genomegenerate/main.nf b/tests/modules/star/genomegenerate/main.nf similarity index 73% rename from tests/software/star/genomegenerate/main.nf rename to tests/modules/star/genomegenerate/main.nf index 12d352c1..4753de9e 100644 --- a/tests/software/star/genomegenerate/main.nf +++ b/tests/modules/star/genomegenerate/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { STAR_GENOMEGENERATE } from '../../../../software/star/genomegenerate/main.nf' addParams( options: [:] ) +include { STAR_GENOMEGENERATE } from '../../../../modules/star/genomegenerate/main.nf' addParams( options: [:] ) workflow test_star_genomegenerate { fasta = file(params.test_data['homo_sapiens']['genome']['genome_fasta'], checkIfExists: true) diff --git a/tests/software/star/genomegenerate/test.yml b/tests/modules/star/genomegenerate/test.yml similarity index 90% rename from tests/software/star/genomegenerate/test.yml rename to tests/modules/star/genomegenerate/test.yml index 02b98ee3..4e9c2247 100644 --- a/tests/software/star/genomegenerate/test.yml +++ b/tests/modules/star/genomegenerate/test.yml @@ -1,5 +1,5 @@ - name: star genomegenerate - command: nextflow run ./tests/software/star/genomegenerate -entry test_star_genomegenerate -c tests/config/nextflow.config + command: nextflow run ./tests/modules/star/genomegenerate -entry test_star_genomegenerate -c tests/config/nextflow.config tags: - star - star/genomegenerate diff --git a/tests/software/strelka/germline/main.nf b/tests/modules/strelka/germline/main.nf similarity index 92% rename from tests/software/strelka/germline/main.nf rename to tests/modules/strelka/germline/main.nf index 54a20db7..4ce4699a 100644 --- a/tests/software/strelka/germline/main.nf +++ b/tests/modules/strelka/germline/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { STRELKA_GERMLINE } from '../../../../software/strelka/germline/main.nf' addParams( options: [:] ) +include { STRELKA_GERMLINE } from '../../../../modules/strelka/germline/main.nf' addParams( options: [:] ) workflow test_strelka_germline { input = [ diff --git a/tests/software/strelka/germline/test.yml b/tests/modules/strelka/germline/test.yml similarity index 69% rename from tests/software/strelka/germline/test.yml rename to tests/modules/strelka/germline/test.yml index e4ad2203..ac654ce8 100644 --- a/tests/software/strelka/germline/test.yml +++ b/tests/modules/strelka/germline/test.yml @@ -1,5 +1,5 @@ - name: strelka germline - command: nextflow run ./tests/software/strelka/germline -entry test_strelka_germline -c tests/config/nextflow.config + command: nextflow run ./tests/modules/strelka/germline -entry test_strelka_germline -c tests/config/nextflow.config tags: - strelka - strelka/germline @@ -9,7 +9,7 @@ - path: output/strelka/test.genome.vcf.gz - path: output/strelka/test.genome.vcf.gz.tbi - name: strelka germline target bed - command: nextflow run ./tests/software/strelka/germline -entry test_strelka_germline_target_bed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/strelka/germline -entry test_strelka_germline_target_bed -c tests/config/nextflow.config tags: - strelka - strelka/germline diff --git a/tests/software/stringtie/merge/main.nf b/tests/modules/stringtie/merge/main.nf similarity index 76% rename from tests/software/stringtie/merge/main.nf rename to tests/modules/stringtie/merge/main.nf index 9205f4ed..f0202c33 100644 --- a/tests/software/stringtie/merge/main.nf +++ b/tests/modules/stringtie/merge/main.nf @@ -2,10 +2,10 @@ nextflow.enable.dsl = 2 -include { STRINGTIE as STRINGTIE_FORWARD } from '../../../../software/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward' ] ) -include { STRINGTIE as STRINGTIE_REVERSE } from '../../../../software/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse' ] ) -include { STRINGTIE_MERGE as STRINGTIE_FORWARD_MERGE} from '../../../../software/stringtie/merge/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward_merge'] ) -include { STRINGTIE_MERGE as STRINGTIE_REVERSE_MERGE} from '../../../../software/stringtie/merge/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse_merge'] ) +include { STRINGTIE as STRINGTIE_FORWARD } from '../../../../modules/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward' ] ) +include { STRINGTIE as STRINGTIE_REVERSE } from '../../../../modules/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse' ] ) +include { STRINGTIE_MERGE as STRINGTIE_FORWARD_MERGE} from '../../../../modules/stringtie/merge/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward_merge'] ) +include { STRINGTIE_MERGE as STRINGTIE_REVERSE_MERGE} from '../../../../modules/stringtie/merge/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse_merge'] ) /* * Test with forward strandedness */ diff --git a/tests/software/stringtie/merge/test.yml b/tests/modules/stringtie/merge/test.yml similarity index 63% rename from tests/software/stringtie/merge/test.yml rename to tests/modules/stringtie/merge/test.yml index 9e2da033..57488377 100644 --- a/tests/software/stringtie/merge/test.yml +++ b/tests/modules/stringtie/merge/test.yml @@ -1,5 +1,5 @@ - name: stringtie forward-strand merge - command: nextflow run ./tests/software/stringtie/merge/ -entry test_stringtie_forward_merge -c tests/config/nextflow.config + command: nextflow run ./tests/modules/stringtie/merge/ -entry test_stringtie_forward_merge -c tests/config/nextflow.config tags: - stringtie - stringtie/merge @@ -8,7 +8,7 @@ md5sum: 676aa20a2d7a3db18136cdc7ba183099 - name: stringtie reverse-strand merge - command: nextflow run ./tests/software/stringtie/merge/ -entry test_stringtie_reverse_merge -c tests/config/nextflow.config + command: nextflow run ./tests/modules/stringtie/merge/ -entry test_stringtie_reverse_merge -c tests/config/nextflow.config tags: - stringtie - stringtie/merge diff --git a/tests/software/stringtie/stringtie/main.nf b/tests/modules/stringtie/stringtie/main.nf similarity index 81% rename from tests/software/stringtie/stringtie/main.nf rename to tests/modules/stringtie/stringtie/main.nf index c009173d..fc321f8f 100644 --- a/tests/software/stringtie/stringtie/main.nf +++ b/tests/modules/stringtie/stringtie/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { STRINGTIE as STRINGTIE_FORWARD } from '../../../../software/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward' ] ) -include { STRINGTIE as STRINGTIE_REVERSE } from '../../../../software/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse' ] ) +include { STRINGTIE as STRINGTIE_FORWARD } from '../../../../modules/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_forward' ] ) +include { STRINGTIE as STRINGTIE_REVERSE } from '../../../../modules/stringtie/stringtie/main.nf' addParams( options: [ publish_dir:'test_stringtie_reverse' ] ) // // Test with forward strandedness diff --git a/tests/software/stringtie/stringtie/test.yml b/tests/modules/stringtie/stringtie/test.yml similarity index 88% rename from tests/software/stringtie/stringtie/test.yml rename to tests/modules/stringtie/stringtie/test.yml index 1e3017e2..14eff6eb 100644 --- a/tests/software/stringtie/stringtie/test.yml +++ b/tests/modules/stringtie/stringtie/test.yml @@ -1,5 +1,5 @@ - name: test_stringtie_forward - command: nextflow run ./tests/software/stringtie/stringtie/ -entry test_stringtie_forward -c tests/config/nextflow.config + command: nextflow run ./tests/modules/stringtie/stringtie/ -entry test_stringtie_forward -c tests/config/nextflow.config tags: - stringtie - stringtie/stringtie @@ -21,7 +21,7 @@ md5sum: e981c0038295ae54b63cedb1083f1540 - name: test_stringtie_reverse - command: nextflow run ./tests/software/stringtie/stringtie/ -entry test_stringtie_reverse -c tests/config/nextflow.config + command: nextflow run ./tests/modules/stringtie/stringtie/ -entry test_stringtie_reverse -c tests/config/nextflow.config tags: - stringtie - stringtie/stringtie diff --git a/tests/software/subread/featurecounts/main.nf b/tests/modules/subread/featurecounts/main.nf similarity index 90% rename from tests/software/subread/featurecounts/main.nf rename to tests/modules/subread/featurecounts/main.nf index 9c79fa04..eae60f80 100644 --- a/tests/software/subread/featurecounts/main.nf +++ b/tests/modules/subread/featurecounts/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { SUBREAD_FEATURECOUNTS } from '../../../../software/subread/featurecounts/main.nf' addParams( options: [args:'-t CDS'] ) +include { SUBREAD_FEATURECOUNTS } from '../../../../modules/subread/featurecounts/main.nf' addParams( options: [args:'-t CDS'] ) workflow test_subread_featurecounts_forward { diff --git a/tests/software/subread/featurecounts/test.yml b/tests/modules/subread/featurecounts/test.yml similarity index 70% rename from tests/software/subread/featurecounts/test.yml rename to tests/modules/subread/featurecounts/test.yml index efefcc10..2cba9e15 100644 --- a/tests/software/subread/featurecounts/test.yml +++ b/tests/modules/subread/featurecounts/test.yml @@ -1,5 +1,5 @@ - name: subread featurecounts test_subread_featurecounts_forward - command: nextflow run tests/software/subread/featurecounts -entry test_subread_featurecounts_forward -c tests/config/nextflow.config + command: nextflow run tests/modules/subread/featurecounts -entry test_subread_featurecounts_forward -c tests/config/nextflow.config tags: - subread - subread/featurecounts @@ -10,7 +10,7 @@ md5sum: b0a1f7563afe49007f422d4c9ca5ee6c - name: subread featurecounts test_subread_featurecounts_reverse - command: nextflow run tests/software/subread/featurecounts -entry test_subread_featurecounts_reverse -c tests/config/nextflow.config + command: nextflow run tests/modules/subread/featurecounts -entry test_subread_featurecounts_reverse -c tests/config/nextflow.config tags: - subread - subread/featurecounts @@ -21,7 +21,7 @@ md5sum: 412840a8880cd29674b3d5404d3de19b - name: subread featurecounts test_subread_featurecounts_unstranded - command: nextflow run tests/software/subread/featurecounts -entry test_subread_featurecounts_unstranded -c tests/config/nextflow.config + command: nextflow run tests/modules/subread/featurecounts -entry test_subread_featurecounts_unstranded -c tests/config/nextflow.config tags: - subread - subread/featurecounts diff --git a/tests/software/tabix/bgzip/main.nf b/tests/modules/tabix/bgzip/main.nf similarity index 72% rename from tests/software/tabix/bgzip/main.nf rename to tests/modules/tabix/bgzip/main.nf index e91f37ad..8756b17d 100644 --- a/tests/software/tabix/bgzip/main.nf +++ b/tests/modules/tabix/bgzip/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { TABIX_BGZIP } from '../../../../software/tabix/bgzip/main.nf' addParams( options: [:] ) +include { TABIX_BGZIP } from '../../../../modules/tabix/bgzip/main.nf' addParams( options: [:] ) workflow test_tabix_bgzip { input = [ [ id:'test' ], // meta map diff --git a/tests/software/tabix/bgzip/test.yml b/tests/modules/tabix/bgzip/test.yml similarity index 58% rename from tests/software/tabix/bgzip/test.yml rename to tests/modules/tabix/bgzip/test.yml index c3775d47..e254daed 100644 --- a/tests/software/tabix/bgzip/test.yml +++ b/tests/modules/tabix/bgzip/test.yml @@ -1,5 +1,5 @@ - name: tabix bgzip - command: nextflow run ./tests/software/tabix/bgzip -entry test_tabix_bgzip -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tabix/bgzip -entry test_tabix_bgzip -c tests/config/nextflow.config tags: - tabix - tabix/bgzip diff --git a/tests/software/tabix/bgziptabix/main.nf b/tests/modules/tabix/bgziptabix/main.nf similarity index 68% rename from tests/software/tabix/bgziptabix/main.nf rename to tests/modules/tabix/bgziptabix/main.nf index 264d5b99..51e242fd 100644 --- a/tests/software/tabix/bgziptabix/main.nf +++ b/tests/modules/tabix/bgziptabix/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { TABIX_BGZIPTABIX } from '../../../../software/tabix/bgziptabix/main.nf' addParams( options: ['args2': '-p vcf'] ) +include { TABIX_BGZIPTABIX } from '../../../../modules/tabix/bgziptabix/main.nf' addParams( options: ['args2': '-p vcf'] ) workflow test_tabix_bgziptabix { input = [ [ id:'test' ], // meta map diff --git a/tests/software/tabix/bgziptabix/test.yml b/tests/modules/tabix/bgziptabix/test.yml similarity index 67% rename from tests/software/tabix/bgziptabix/test.yml rename to tests/modules/tabix/bgziptabix/test.yml index fe658fd7..c0b9c247 100644 --- a/tests/software/tabix/bgziptabix/test.yml +++ b/tests/modules/tabix/bgziptabix/test.yml @@ -1,5 +1,5 @@ - name: tabix bgziptabix - command: nextflow run ./tests/software/tabix/bgziptabix -entry test_tabix_bgziptabix -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tabix/bgziptabix -entry test_tabix_bgziptabix -c tests/config/nextflow.config tags: - tabix - tabix/bgziptabix diff --git a/tests/software/tabix/tabix/main.nf b/tests/modules/tabix/tabix/main.nf similarity index 65% rename from tests/software/tabix/tabix/main.nf rename to tests/modules/tabix/tabix/main.nf index 3ff475a1..0963ffcd 100644 --- a/tests/software/tabix/tabix/main.nf +++ b/tests/modules/tabix/tabix/main.nf @@ -2,9 +2,9 @@ nextflow.enable.dsl = 2 -include { TABIX_TABIX as TABIX_BED } from '../../../../software/tabix/tabix/main.nf' addParams( options: ['args': '-p bed'] ) -include { TABIX_TABIX as TABIX_GFF } from '../../../../software/tabix/tabix/main.nf' addParams( options: ['args': '-p gff'] ) -include { TABIX_TABIX as TABIX_VCF } from '../../../../software/tabix/tabix/main.nf' addParams( options: ['args': '-p vcf'] ) +include { TABIX_TABIX as TABIX_BED } from '../../../../modules/tabix/tabix/main.nf' addParams( options: ['args': '-p bed'] ) +include { TABIX_TABIX as TABIX_GFF } from '../../../../modules/tabix/tabix/main.nf' addParams( options: ['args': '-p gff'] ) +include { TABIX_TABIX as TABIX_VCF } from '../../../../modules/tabix/tabix/main.nf' addParams( options: ['args': '-p vcf'] ) workflow test_tabix_tabix_bed { input = [ [ id:'B.bed' ], // meta map diff --git a/tests/software/tabix/tabix/test.yml b/tests/modules/tabix/tabix/test.yml similarity index 58% rename from tests/software/tabix/tabix/test.yml rename to tests/modules/tabix/tabix/test.yml index 73c91168..41c4a940 100644 --- a/tests/software/tabix/tabix/test.yml +++ b/tests/modules/tabix/tabix/test.yml @@ -1,5 +1,5 @@ - name: tabix tabix bed - command: nextflow run ./tests/software/tabix/tabix -entry test_tabix_tabix_bed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tabix/tabix -entry test_tabix_tabix_bed -c tests/config/nextflow.config tags: - tabix - tabix/tabix @@ -7,7 +7,7 @@ - path: ./output/tabix/test.bed.gz.tbi md5sum: 115922d881d24879b15d20c3734495ac - name: tabix tabix gff - command: nextflow run ./tests/software/tabix/tabix -entry test_tabix_tabix_gff -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tabix/tabix -entry test_tabix_tabix_gff -c tests/config/nextflow.config tags: - tabix - tabix/tabix @@ -15,7 +15,7 @@ - path: ./output/tabix/genome.gff3.gz.tbi md5sum: 4059fe4762568194cf293fc6df7b358b - name: tabix tabix vcf - command: nextflow run ./tests/software/tabix/tabix -entry test_tabix_tabix_vcf -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tabix/tabix -entry test_tabix_tabix_vcf -c tests/config/nextflow.config tags: - tabix - tabix/tabix diff --git a/tests/software/tiddit/sv/main.nf b/tests/modules/tiddit/sv/main.nf similarity index 88% rename from tests/software/tiddit/sv/main.nf rename to tests/modules/tiddit/sv/main.nf index da1fc6a1..8a5a8140 100644 --- a/tests/software/tiddit/sv/main.nf +++ b/tests/modules/tiddit/sv/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { TIDDIT_SV } from '../../../../software/tiddit/sv/main.nf' addParams( options: [:] ) +include { TIDDIT_SV } from '../../../../modules/tiddit/sv/main.nf' addParams( options: [:] ) workflow test_tiddit_sv { input = [ diff --git a/tests/software/tiddit/sv/test.yml b/tests/modules/tiddit/sv/test.yml similarity index 72% rename from tests/software/tiddit/sv/test.yml rename to tests/modules/tiddit/sv/test.yml index daea004c..ed19bf14 100644 --- a/tests/software/tiddit/sv/test.yml +++ b/tests/modules/tiddit/sv/test.yml @@ -1,5 +1,5 @@ - name: tiddit sv - command: nextflow run ./tests/software/tiddit/sv -entry test_tiddit_sv -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tiddit/sv -entry test_tiddit_sv -c tests/config/nextflow.config tags: - tiddit - tiddit/sv @@ -11,7 +11,7 @@ - path: output/tiddit/test.vcf - name: tiddit sv no ref - command: nextflow run ./tests/software/tiddit/sv -entry test_tiddit_sv_no_ref -c tests/config/nextflow.config + command: nextflow run ./tests/modules/tiddit/sv -entry test_tiddit_sv_no_ref -c tests/config/nextflow.config tags: - tiddit - tiddit/sv diff --git a/tests/software/trimgalore/main.nf b/tests/modules/trimgalore/main.nf similarity index 88% rename from tests/software/trimgalore/main.nf rename to tests/modules/trimgalore/main.nf index e590bb93..3001469d 100644 --- a/tests/software/trimgalore/main.nf +++ b/tests/modules/trimgalore/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { TRIMGALORE } from '../../../software/trimgalore/main.nf' addParams( options: [:] ) +include { TRIMGALORE } from '../../../modules/trimgalore/main.nf' addParams( options: [:] ) // // Test with single-end data diff --git a/tests/software/trimgalore/test.yml b/tests/modules/trimgalore/test.yml similarity index 72% rename from tests/software/trimgalore/test.yml rename to tests/modules/trimgalore/test.yml index 7a140003..c176f592 100644 --- a/tests/software/trimgalore/test.yml +++ b/tests/modules/trimgalore/test.yml @@ -1,5 +1,5 @@ - name: trimgalore single-end - command: nextflow run ./tests/software/trimgalore/ -entry test_trimgalore_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/trimgalore/ -entry test_trimgalore_single_end -c tests/config/nextflow.config tags: - trimgalore files: @@ -9,7 +9,7 @@ - path: ./output/trimgalore/test_trimmed.fq.gz - name: trimgalore paired-end - command: nextflow run ./tests/software/trimgalore/ -entry test_trimgalore_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/trimgalore/ -entry test_trimgalore_paired_end -c tests/config/nextflow.config tags: - trimgalore files: diff --git a/tests/software/ucsc/bed12tobigbed/main.nf b/tests/modules/ucsc/bed12tobigbed/main.nf similarity index 77% rename from tests/software/ucsc/bed12tobigbed/main.nf rename to tests/modules/ucsc/bed12tobigbed/main.nf index 3adc25bf..8ed64166 100644 --- a/tests/software/ucsc/bed12tobigbed/main.nf +++ b/tests/modules/ucsc/bed12tobigbed/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UCSC_BED12TOBIGBED } from '../../../../software/ucsc/bed12tobigbed/main.nf' addParams( options: [:] ) +include { UCSC_BED12TOBIGBED } from '../../../../modules/ucsc/bed12tobigbed/main.nf' addParams( options: [:] ) workflow test_ucsc_bed12tobigbed { input = [ [ id: 'test' ], // meta map diff --git a/tests/software/ucsc/bed12tobigbed/test.yml b/tests/modules/ucsc/bed12tobigbed/test.yml similarity index 55% rename from tests/software/ucsc/bed12tobigbed/test.yml rename to tests/modules/ucsc/bed12tobigbed/test.yml index 9aadf265..e0ee6f75 100644 --- a/tests/software/ucsc/bed12tobigbed/test.yml +++ b/tests/modules/ucsc/bed12tobigbed/test.yml @@ -1,5 +1,5 @@ - name: ucsc bed12tobigbed - command: nextflow run ./tests/software/ucsc/bed12tobigbed -entry test_ucsc_bed12tobigbed -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ucsc/bed12tobigbed -entry test_ucsc_bed12tobigbed -c tests/config/nextflow.config tags: - ucsc/bed12tobigbed files: diff --git a/tests/software/ucsc/bedclip/main.nf b/tests/modules/ucsc/bedclip/main.nf similarity index 77% rename from tests/software/ucsc/bedclip/main.nf rename to tests/modules/ucsc/bedclip/main.nf index 19fb2004..162c2eb4 100755 --- a/tests/software/ucsc/bedclip/main.nf +++ b/tests/modules/ucsc/bedclip/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UCSC_BEDCLIP } from '../../../../software/ucsc/bedclip/main.nf' addParams( options: [suffix:'.clip'] ) +include { UCSC_BEDCLIP } from '../../../../modules/ucsc/bedclip/main.nf' addParams( options: [suffix:'.clip'] ) workflow test_ucsc_bedclip { input = [ [ id:'test', single_end:false ], // meta map diff --git a/tests/software/ucsc/bedclip/test.yml b/tests/modules/ucsc/bedclip/test.yml similarity index 58% rename from tests/software/ucsc/bedclip/test.yml rename to tests/modules/ucsc/bedclip/test.yml index bfab9634..103795da 100755 --- a/tests/software/ucsc/bedclip/test.yml +++ b/tests/modules/ucsc/bedclip/test.yml @@ -1,5 +1,5 @@ - name: ucsc bedclip - command: nextflow run ./tests/software/ucsc/bedclip -entry test_ucsc_bedclip -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ucsc/bedclip -entry test_ucsc_bedclip -c tests/config/nextflow.config tags: - ucsc - ucsc/bedclip diff --git a/tests/software/ucsc/bedgraphtobigwig/main.nf b/tests/modules/ucsc/bedgraphtobigwig/main.nf similarity index 76% rename from tests/software/ucsc/bedgraphtobigwig/main.nf rename to tests/modules/ucsc/bedgraphtobigwig/main.nf index 099a9ea6..8d83e235 100644 --- a/tests/software/ucsc/bedgraphtobigwig/main.nf +++ b/tests/modules/ucsc/bedgraphtobigwig/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UCSC_BEDGRAPHTOBIGWIG } from '../../../../software/ucsc/bedgraphtobigwig/main.nf' addParams( options: [:] ) +include { UCSC_BEDGRAPHTOBIGWIG } from '../../../../modules/ucsc/bedgraphtobigwig/main.nf' addParams( options: [:] ) workflow test_ucsc_bedgraphtobigwig { input = [ [ id:'test' ], // meta map diff --git a/tests/software/ucsc/bedgraphtobigwig/test.yml b/tests/modules/ucsc/bedgraphtobigwig/test.yml similarity index 55% rename from tests/software/ucsc/bedgraphtobigwig/test.yml rename to tests/modules/ucsc/bedgraphtobigwig/test.yml index a83b3039..726a07ca 100644 --- a/tests/software/ucsc/bedgraphtobigwig/test.yml +++ b/tests/modules/ucsc/bedgraphtobigwig/test.yml @@ -1,5 +1,5 @@ - name: ucsc bedgraphtobigwig - command: nextflow run ./tests/software/ucsc/bedgraphtobigwig -entry test_ucsc_bedgraphtobigwig -c tests/config/nextflow.config + command: nextflow run ./tests/modules/ucsc/bedgraphtobigwig -entry test_ucsc_bedgraphtobigwig -c tests/config/nextflow.config tags: - ucsc/bedgraphtobigwig files: diff --git a/tests/software/ucsc/bigwigaverageoverbed/main.nf b/tests/modules/ucsc/bigwigaverageoverbed/main.nf similarity index 75% rename from tests/software/ucsc/bigwigaverageoverbed/main.nf rename to tests/modules/ucsc/bigwigaverageoverbed/main.nf index 8230d320..88310a0b 100644 --- a/tests/software/ucsc/bigwigaverageoverbed/main.nf +++ b/tests/modules/ucsc/bigwigaverageoverbed/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UCSC_BIGWIGAVERAGEOVERBED } from '../../../../software/ucsc/bigwigaverageoverbed/main.nf' addParams( options: [:] ) +include { UCSC_BIGWIGAVERAGEOVERBED } from '../../../../modules/ucsc/bigwigaverageoverbed/main.nf' addParams( options: [:] ) workflow test_ucsc_bigwigaverageoverbed { input = [ [ id: 'test' ], // meta map diff --git a/tests/software/ucsc/bigwigaverageoverbed/test.yml b/tests/modules/ucsc/bigwigaverageoverbed/test.yml similarity index 60% rename from tests/software/ucsc/bigwigaverageoverbed/test.yml rename to tests/modules/ucsc/bigwigaverageoverbed/test.yml index 9f66eaaa..641e9be5 100644 --- a/tests/software/ucsc/bigwigaverageoverbed/test.yml +++ b/tests/modules/ucsc/bigwigaverageoverbed/test.yml @@ -1,5 +1,5 @@ - name: ucsc bigwigaverageoverbed test_ucsc_bigwigaverageoverbed - command: nextflow run tests/software/ucsc/bigwigaverageoverbed -entry test_ucsc_bigwigaverageoverbed -c tests/config/nextflow.config + command: nextflow run tests/modules/ucsc/bigwigaverageoverbed -entry test_ucsc_bigwigaverageoverbed -c tests/config/nextflow.config tags: - ucsc - ucsc/bigwigaverageoverbed diff --git a/tests/software/ucsc/wigtobigwig/main.nf b/tests/modules/ucsc/wigtobigwig/main.nf similarity index 74% rename from tests/software/ucsc/wigtobigwig/main.nf rename to tests/modules/ucsc/wigtobigwig/main.nf index 18616d6d..81296ac4 100644 --- a/tests/software/ucsc/wigtobigwig/main.nf +++ b/tests/modules/ucsc/wigtobigwig/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UCSC_WIGTOBIGWIG } from '../../../../software/ucsc/wigtobigwig/main.nf' addParams( options: [:] ) +include { UCSC_WIGTOBIGWIG } from '../../../../modules/ucsc/wigtobigwig/main.nf' addParams( options: [:] ) workflow test_ucsc_wigtobigwig { diff --git a/tests/software/ucsc/wigtobigwig/test.yml b/tests/modules/ucsc/wigtobigwig/test.yml similarity index 60% rename from tests/software/ucsc/wigtobigwig/test.yml rename to tests/modules/ucsc/wigtobigwig/test.yml index a22c13a0..15388adb 100644 --- a/tests/software/ucsc/wigtobigwig/test.yml +++ b/tests/modules/ucsc/wigtobigwig/test.yml @@ -1,5 +1,5 @@ - name: ucsc wigtobigwig test_ucsc_wigtobigwig - command: nextflow run tests/software/ucsc/wigtobigwig -entry test_ucsc_wigtobigwig -c tests/config/nextflow.config + command: nextflow run tests/modules/ucsc/wigtobigwig -entry test_ucsc_wigtobigwig -c tests/config/nextflow.config tags: - ucsc - ucsc/wigtobigwig diff --git a/tests/software/unicycler/main.nf b/tests/modules/unicycler/main.nf similarity index 87% rename from tests/software/unicycler/main.nf rename to tests/modules/unicycler/main.nf index ff854c50..993310a1 100644 --- a/tests/software/unicycler/main.nf +++ b/tests/modules/unicycler/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UNICYCLER } from '../../../software/unicycler/main.nf' addParams( options: [:] ) +include { UNICYCLER } from '../../../modules/unicycler/main.nf' addParams( options: [:] ) workflow test_unicycler_single_end { input = [ [ id:'test', single_end:true ], // meta map diff --git a/tests/software/unicycler/test.yml b/tests/modules/unicycler/test.yml similarity index 68% rename from tests/software/unicycler/test.yml rename to tests/modules/unicycler/test.yml index df7eb0de..f12cc1ba 100644 --- a/tests/software/unicycler/test.yml +++ b/tests/modules/unicycler/test.yml @@ -1,5 +1,5 @@ - name: unicycler single-end - command: nextflow run ./tests/software/unicycler -entry test_unicycler_single_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/unicycler -entry test_unicycler_single_end -c tests/config/nextflow.config tags: - unicycler files: @@ -10,7 +10,7 @@ - "Assembly complete" - name: unicycler paired-end - command: nextflow run ./tests/software/unicycler -entry test_unicycler_paired_end -c tests/config/nextflow.config + command: nextflow run ./tests/modules/unicycler -entry test_unicycler_paired_end -c tests/config/nextflow.config tags: - unicycler files: diff --git a/tests/software/untar/main.nf b/tests/modules/untar/main.nf similarity index 69% rename from tests/software/untar/main.nf rename to tests/modules/untar/main.nf index 7cc7d3d9..b7317bd9 100644 --- a/tests/software/untar/main.nf +++ b/tests/modules/untar/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { UNTAR } from '../../../software/untar/main.nf' addParams( options: [:] ) +include { UNTAR } from '../../../modules/untar/main.nf' addParams( options: [:] ) workflow test_untar { input = file(params.test_data['sarscov2']['genome']['kraken2_tar_gz'], checkIfExists: true) diff --git a/tests/software/untar/test.yml b/tests/modules/untar/test.yml similarity index 76% rename from tests/software/untar/test.yml rename to tests/modules/untar/test.yml index e40992b9..9f48e86c 100644 --- a/tests/software/untar/test.yml +++ b/tests/modules/untar/test.yml @@ -1,5 +1,5 @@ - name: untar - command: nextflow run ./tests/software/untar -entry test_untar -c tests/config/nextflow.config + command: nextflow run ./tests/modules/untar -entry test_untar -c tests/config/nextflow.config tags: - untar files: diff --git a/tests/software/vcftools/main.nf b/tests/modules/vcftools/main.nf similarity index 80% rename from tests/software/vcftools/main.nf rename to tests/modules/vcftools/main.nf index 3a25aaaa..2d4997de 100644 --- a/tests/software/vcftools/main.nf +++ b/tests/modules/vcftools/main.nf @@ -2,8 +2,8 @@ nextflow.enable.dsl = 2 -include { VCFTOOLS as VCFTOOLS_BASE } from '../../../software/vcftools/main.nf' addParams( options: ['args': '--freq'] ) -include { VCFTOOLS as VCFTOOLS_OPTIONAL } from '../../../software/vcftools/main.nf' addParams( options: ['args': '--freq --exclude-bed'] ) +include { VCFTOOLS as VCFTOOLS_BASE } from '../../../modules/vcftools/main.nf' addParams( options: ['args': '--freq'] ) +include { VCFTOOLS as VCFTOOLS_OPTIONAL } from '../../../modules/vcftools/main.nf' addParams( options: ['args': '--freq --exclude-bed'] ) workflow test_vcftools_vcf_base { input = [ [ id:'test' ], // meta map diff --git a/tests/software/vcftools/test.yml b/tests/modules/vcftools/test.yml similarity index 58% rename from tests/software/vcftools/test.yml rename to tests/modules/vcftools/test.yml index 24955f2f..81529be2 100644 --- a/tests/software/vcftools/test.yml +++ b/tests/modules/vcftools/test.yml @@ -1,5 +1,5 @@ - name: vcftools test_vcftools_vcf_base - command: nextflow run tests/software/vcftools -entry test_vcftools_vcf_base -c tests/config/nextflow.config + command: nextflow run tests/modules/vcftools -entry test_vcftools_vcf_base -c tests/config/nextflow.config tags: - vcftools files: @@ -7,7 +7,7 @@ md5sum: 7f126655f17268fd1a338734f62868e9 - name: vcftools test_vcftools_vcfgz_base - command: nextflow run tests/software/vcftools -entry test_vcftools_vcfgz_base -c tests/config/nextflow.config + command: nextflow run tests/modules/vcftools -entry test_vcftools_vcfgz_base -c tests/config/nextflow.config tags: - vcftools files: @@ -15,7 +15,7 @@ md5sum: 7f126655f17268fd1a338734f62868e9 - name: vcftools test_vcftools_vcf_optional - command: nextflow run tests/software/vcftools -entry test_vcftools_vcf_optional -c tests/config/nextflow.config + command: nextflow run tests/modules/vcftools -entry test_vcftools_vcf_optional -c tests/config/nextflow.config tags: - vcftools files: @@ -23,7 +23,7 @@ md5sum: 7f126655f17268fd1a338734f62868e9 - name: vcftools test_vcftools_vcfgz_optional - command: nextflow run tests/software/vcftools -entry test_vcftools_vcfgz_optional -c tests/config/nextflow.config + command: nextflow run tests/modules/vcftools -entry test_vcftools_vcfgz_optional -c tests/config/nextflow.config tags: - vcftools files: diff --git a/tests/software/yara/index/main.nf b/tests/modules/yara/index/main.nf similarity index 69% rename from tests/software/yara/index/main.nf rename to tests/modules/yara/index/main.nf index 311b0796..dcedc61b 100644 --- a/tests/software/yara/index/main.nf +++ b/tests/modules/yara/index/main.nf @@ -2,7 +2,7 @@ nextflow.enable.dsl = 2 -include { YARA_INDEX } from '../../../../software/yara/index/main.nf' addParams( options: [:] ) +include { YARA_INDEX } from '../../../../modules/yara/index/main.nf' addParams( options: [:] ) workflow test_yara_index { diff --git a/tests/software/yara/index/test.yml b/tests/modules/yara/index/test.yml similarity index 91% rename from tests/software/yara/index/test.yml rename to tests/modules/yara/index/test.yml index 3ab1fb1f..384aeee8 100644 --- a/tests/software/yara/index/test.yml +++ b/tests/modules/yara/index/test.yml @@ -1,5 +1,5 @@ - name: yara index test_yara_index - command: nextflow run tests/software/yara/index -entry test_yara_index -c tests/config/nextflow.config + command: nextflow run tests/modules/yara/index -entry test_yara_index -c tests/config/nextflow.config tags: - yara/index - yara diff --git a/tests/software/yara/mapper/main.nf b/tests/modules/yara/mapper/main.nf similarity index 80% rename from tests/software/yara/mapper/main.nf rename to tests/modules/yara/mapper/main.nf index d2b3d922..06582eb3 100644 --- a/tests/software/yara/mapper/main.nf +++ b/tests/modules/yara/mapper/main.nf @@ -3,8 +3,8 @@ nextflow.enable.dsl = 2 -include { YARA_INDEX } from '../../../../software/yara/index/main.nf' addParams( options: ['args': '-e 3'] ) -include { YARA_MAPPER } from '../../../../software/yara/mapper/main.nf' addParams( options: ['args': '-e 3'] ) +include { YARA_INDEX } from '../../../../modules/yara/index/main.nf' addParams( options: ['args': '-e 3'] ) +include { YARA_MAPPER } from '../../../../modules/yara/mapper/main.nf' addParams( options: ['args': '-e 3'] ) workflow test_yara_single_end { diff --git a/tests/software/yara/mapper/test.yml b/tests/modules/yara/mapper/test.yml similarity index 92% rename from tests/software/yara/mapper/test.yml rename to tests/modules/yara/mapper/test.yml index 59cac33b..51b056df 100644 --- a/tests/software/yara/mapper/test.yml +++ b/tests/modules/yara/mapper/test.yml @@ -1,5 +1,5 @@ - name: yara mapper test_yara_single_end - command: nextflow run tests/software/yara/mapper -entry test_yara_single_end -c tests/config/nextflow.config + command: nextflow run tests/modules/yara/mapper -entry test_yara_single_end -c tests/config/nextflow.config tags: - yara/mapper - yara @@ -33,7 +33,7 @@ md5sum: 6e9fe4042a72f2345f644f239272b7e6 - name: yara mapper test_yara_paired_end - command: nextflow run tests/software/yara/mapper -entry test_yara_paired_end -c tests/config/nextflow.config + command: nextflow run tests/modules/yara/mapper -entry test_yara_paired_end -c tests/config/nextflow.config tags: - yara/mapper - yara diff --git a/tests/software/artic/guppyplex/test.yml b/tests/software/artic/guppyplex/test.yml deleted file mode 100644 index c783957b..00000000 --- a/tests/software/artic/guppyplex/test.yml +++ /dev/null @@ -1,7 +0,0 @@ -- name: artic guppyplex - command: nextflow run tests/software/artic/guppyplex -entry test_artic_guppyplex -c tests/config/nextflow.config - tags: - - artic - - artic/guppyplex - files: - - path: output/artic/test.fastq.gz diff --git a/tests/software/bedtools/intersect/main.nf b/tests/software/bedtools/intersect/main.nf deleted file mode 100644 index 2ce11c06..00000000 --- a/tests/software/bedtools/intersect/main.nf +++ /dev/null @@ -1,27 +0,0 @@ -#!/usr/bin/env nextflow - -nextflow.enable.dsl = 2 - -include { BEDTOOLS_INTERSECT } from '../../../../software/bedtools/intersect/main.nf' addParams( options: [suffix: '_out'] ) - -workflow test_bedtools_intersect { - input = [ [ id:'test' ], - file(params.test_data['sarscov2']['genome']['test_bed'], checkIfExists: true), - file(params.test_data['sarscov2']['genome']['test2_bed'], checkIfExists: true) - ] - - extension = 'bed' - - BEDTOOLS_INTERSECT ( input, extension ) -} - -workflow test_bedtools_intersect_bam { - input = [ [ id:'test' ], - file(params.test_data['sarscov2']['illumina']['test_paired_end_bam'], checkIfExists: true), - file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true) - ] - - extension = 'bam' - - BEDTOOLS_INTERSECT ( input, extension ) -} diff --git a/tests/software/bedtools/subtract/main.nf b/tests/software/bedtools/subtract/main.nf deleted file mode 100644 index 7cfefb2c..00000000 --- a/tests/software/bedtools/subtract/main.nf +++ /dev/null @@ -1,15 +0,0 @@ -#!/usr/bin/env nextflow - -nextflow.enable.dsl = 2 - -include { BEDTOOLS_SUBTRACT } from '../../../../software/bedtools/subtract/main.nf' addParams( options: [:] ) - -workflow test_bedtools_subtract { - - input = [ [ id:'test_subtract' ], - file(params.test_data['sarscov2']['genome']['baits_bed'], checkIfExists: true), - file(params.test_data['sarscov2']['genome']['test_bed'], checkIfExists: true) - ] - - BEDTOOLS_SUBTRACT ( input ) -} diff --git a/tests/software/bedtools/subtract/test.yml b/tests/software/bedtools/subtract/test.yml deleted file mode 100644 index 771de261..00000000 --- a/tests/software/bedtools/subtract/test.yml +++ /dev/null @@ -1,8 +0,0 @@ -- name: bedtools subtract test_bedtools_subtract - command: nextflow run tests/software/bedtools/subtract -entry test_bedtools_subtract -c tests/config/nextflow.config - tags: - - bedtools - - bedtools/subtract - files: - - path: output/bedtools/test_subtract.bed - md5sum: 63513c4dc69e8b481ce3b4b2a9f24259 diff --git a/tests/software/lofreq/callparallel/test.yml b/tests/software/lofreq/callparallel/test.yml deleted file mode 100644 index 39261d41..00000000 --- a/tests/software/lofreq/callparallel/test.yml +++ /dev/null @@ -1,7 +0,0 @@ -- name: lofreq callparallel - command: nextflow run ./tests/software/lofreq/callparallel -entry test_lofreq_callparallel -c tests/config/nextflow.config - tags: - - lofreq - - lofreq/callparallel - files: - - path: output/lofreq/test.vcf diff --git a/tests/software/multiqc/test.yml b/tests/software/multiqc/test.yml deleted file mode 100644 index 78df9eba..00000000 --- a/tests/software/multiqc/test.yml +++ /dev/null @@ -1,6 +0,0 @@ -- name: multiqc - command: nextflow run ./tests/software/multiqc -entry test_multiqc -c tests/config/nextflow.config - tags: - - multiqc - files: - - path: output/multiqc/multiqc_report.html diff --git a/tests/software/nanolyse/test.yml b/tests/software/nanolyse/test.yml deleted file mode 100644 index 54f34422..00000000 --- a/tests/software/nanolyse/test.yml +++ /dev/null @@ -1,6 +0,0 @@ -- name: nanolyse - command: nextflow run ./tests/software/nanolyse -entry test_nanolyse -c tests/config/nextflow.config - tags: - - nanolyse - files: - - path: ./output/nanolyse/test.clean.fastq.gz